Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

ISAC: Integrated Space-and-Time-Adaptive Chip-Package Thermal Analysis

Published: 01 January 2007 Publication History

Abstract

Ever-increasing integrated circuit (IC) power densities and peak temperatures threaten reliability, performance, and economical cooling. To address these challenges, thermal analysis must be embedded within IC synthesis. However, this requires accurate three-dimensional chip-package heat flow analysis. This has typically been based on numerical methods that are too computationally intensive for numerous repeated applications during synthesis or design. Thermal analysis techniques must be both accurate and fast for use in IC synthesis. This paper presents a novel accurate incremental spatially and temporally adaptive chip-package thermal analysis technique called ISAC for use in IC synthesis and design. It is common for IC temperature variation to strongly depend on position and time. ISAC dynamically adapts spatial- and temporal-modeling granularities to achieve high efficiency while maintaining accuracy. Both steady-state and dynamic thermal analyses are accelerated by the proposed heterogeneous spatial-resolution adaptation and asynchronous thermal-element time-marching techniques. Each technique enables orders-of-magnitude improvement in performance while preserving accuracy when compared with other state-of-the-art adaptive steady-state and dynamic IC thermal analysis techniques. Experimental results indicate that these improvements are sufficient to make accurate dynamic and steady-state thermal analysis practical within the inner loops of IC synthesis algorithms. ISAC has been validated against reliable commercial thermal analysis tools using industrial and academic synthesis test cases and chip designs. It has been implemented as a software package suitable for integration in IC synthesis and design flows and has been publicly released

Cited By

View all
  • (2024)Thermal Map Dataset for Commercial Multi/Many Core CPU/GPU/TPUProceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD10.1145/3670474.3685963(1-7)Online publication date: 9-Sep-2024
  • (2022)Real-Time Full-Chip Thermal Tracking: A Post-Silicon, Machine Learning PerspectiveIEEE Transactions on Computers10.1109/TC.2021.308611271:6(1411-1424)Online publication date: 1-Jun-2022
  • (2020)Full-chip thermal map estimation for commercial multi-core CPUs with generative adversarial learningProceedings of the 39th International Conference on Computer-Aided Design10.1145/3400302.3415764(1-9)Online publication date: 2-Nov-2020
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems  Volume 26, Issue 1
January 2007
199 pages

Publisher

IEEE Press

Publication History

Published: 01 January 2007

Author Tags

  1. Circuit simulation
  2. high-level synthesis
  3. integrated circuit thermal factors

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 01 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Thermal Map Dataset for Commercial Multi/Many Core CPU/GPU/TPUProceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD10.1145/3670474.3685963(1-7)Online publication date: 9-Sep-2024
  • (2022)Real-Time Full-Chip Thermal Tracking: A Post-Silicon, Machine Learning PerspectiveIEEE Transactions on Computers10.1109/TC.2021.308611271:6(1411-1424)Online publication date: 1-Jun-2022
  • (2020)Full-chip thermal map estimation for commercial multi-core CPUs with generative adversarial learningProceedings of the 39th International Conference on Computer-Aided Design10.1145/3400302.3415764(1-9)Online publication date: 2-Nov-2020
  • (2020)Machine Learning Based Online Full-Chip Heatmap EstimationProceedings of the 25th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC47756.2020.9045204(229-234)Online publication date: 17-Jan-2020
  • (2019)A Survey of Chip-level Thermal SimulatorsACM Computing Surveys10.1145/330954452:2(1-35)Online publication date: 30-Apr-2019
  • (2018)SystemC-AMS Thermal Modeling for the Co-simulation of Functional and Extra-Functional PropertiesACM Transactions on Design Automation of Electronic Systems10.1145/326712524:1(1-26)Online publication date: 21-Dec-2018
  • (2018)Temperature Gradient Exploration Method for Determining the Appropriate Number of Cells in Mesh-Based Thermal AnalysisIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.280122537:12(3216-3220)Online publication date: 1-Dec-2018
  • (2017)Power pre-characterized meshing algorithm for finite element thermal analysis of integrated circuitsProceedings of the Conference on Design, Automation & Test in Europe10.5555/3130379.3130684(1293-1296)Online publication date: 27-Mar-2017
  • (2017)Power-Temperature Stability and Safety Analysis for Multiprocessor SystemsACM Transactions on Embedded Computing Systems10.1145/312656716:5s(1-19)Online publication date: 27-Sep-2017
  • (2017)Source-Level Performance, Energy, Reliability, Power and Thermal (PERPT) SimulationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.257888236:2(299-312)Online publication date: 1-Feb-2017
  • Show More Cited By

View Options

View options

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media