Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1109/VTS.2007.77guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article

Supply Voltage Noise Aware ATPG for Transition Delay Faults

Published: 06 May 2007 Publication History

Abstract

The sensitivity of very deep submicron designs to supply voltage noise is increasing due to higher path delay variations and reduced noise margins with supply noise scaling. The supply noise of delay test during at-speed launch and capture is significantly larger compared to normal circuit operation since larger number of transitions occur within a short time frame. Our simulations have shown that for identical switching activity, a pattern with a short switching time frame window will surge more current from the power network, thereby causing higher IR-drop. In this paper, we propose a novel method to measure the average power of at-speed test patterns, referred to as switching cycle average power (SCAP).We present a case study of the IR-drop effects on design performance during at-speed test. A new practical framework is proposed to generate supply noise tolerant delay test patterns. The proposed framework uses existing commercial ATPG tools and a wrapper is added around them. The results demonstrate that the new patterns generated using our framework will significantly reduce the supply noise.

Cited By

View all
  • (2022)B-open Defect: A Novel Defect Model in FinFET TechnologyACM Journal on Emerging Technologies in Computing Systems10.1145/356424419:1(1-19)Online publication date: 9-Dec-2022
  • (2020)Design of a reliable power delivery network for monolithic 3D ICsProceedings of the 23rd Conference on Design, Automation and Test in Europe10.5555/3408352.3408754(1746-1751)Online publication date: 9-Mar-2020
  • (2014)Simulation Based Framework for Accurately Estimating Dynamic Power-Supply Noise and Path DelayJournal of Electronic Testing: Theory and Applications10.5555/2592908.259293530:1(125-147)Online publication date: 1-Feb-2014
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Guide Proceedings
VTS '07: Proceedings of the 25th IEEE VLSI Test Symmposium
May 2007
418 pages
ISBN:0769528120

Publisher

IEEE Computer Society

United States

Publication History

Published: 06 May 2007

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 12 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2022)B-open Defect: A Novel Defect Model in FinFET TechnologyACM Journal on Emerging Technologies in Computing Systems10.1145/356424419:1(1-19)Online publication date: 9-Dec-2022
  • (2020)Design of a reliable power delivery network for monolithic 3D ICsProceedings of the 23rd Conference on Design, Automation and Test in Europe10.5555/3408352.3408754(1746-1751)Online publication date: 9-Mar-2020
  • (2014)Simulation Based Framework for Accurately Estimating Dynamic Power-Supply Noise and Path DelayJournal of Electronic Testing: Theory and Applications10.5555/2592908.259293530:1(125-147)Online publication date: 1-Feb-2014
  • (2010)Emulating and diagnosing IR-drop by using dynamic SDFProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899842(511-516)Online publication date: 18-Jan-2010
  • (2010)Improved weight assignment for logic switching activity during at-speed test pattern generationProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899839(493-498)Online publication date: 18-Jan-2010
  • (2010)Pattern grading for testing critical paths considering power supply noise and crosstalk using a layout-aware quality metricProceedings of the 20th symposium on Great lakes symposium on VLSI10.1145/1785481.1785512(127-130)Online publication date: 16-May-2010
  • (2009)Power supply noise reduction for at-speed scan testing in linear-decompression environmentIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2009.203044028:11(1767-1776)Online publication date: 1-Nov-2009
  • (2009)A novel faster-than-at-speed transition-delay test method considering IR-drop effectsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2009.202867928:10(1573-1582)Online publication date: 1-Oct-2009
  • (2008)Layout-aware, IR-drop tolerant transition fault pattern generationProceedings of the conference on Design, automation and test in Europe10.1145/1403375.1403661(1172-1177)Online publication date: 10-Mar-2008
  • (2008)Test strategies for low power devicesProceedings of the conference on Design, automation and test in Europe10.1145/1403375.1403552(728-733)Online publication date: 10-Mar-2008

View Options

View options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media