Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1233501.1233607acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

Online task-scheduling for fault-tolerant low-energy real-time systems

Published: 05 November 2006 Publication History

Abstract

In this paper we investigate fault tolerance and Dynamic Voltage Scaling (DVS) in hard real time systems. We present two low-complexity fault-aware scheduling algorithms that combine feasibility analysis of Rate Monotonic Algorithm (RMA) schedules and DVS-based frequency scaling using exact characterization of RMA algorithm. These algorithms lay the foundation for highly efficient online schemes that minimize energy consumption by adapting DVS policies to runtime behavior of tasks and fault occurrences without violating the offline feasibility analysis. Simulation results demonstrate energy savings of up to 60% over low-energy offline scheduling algorithms [22].

References

[1]
I. Akyildiz, W Su, Y. Sankarasubramaniam, and E. Cayirci, "Wireless sensor networks: A survey," IEEE Communications Magazine, 2002
[2]
S. Reinhardt and S. Mukherjee, "Transient fault detection via simultaneous multithreading," ACM SIGARCH Computer Architecture News, 2000
[3]
A. Chandrakasan, S. Sheng and R. Brodersen, "Low-power CMOS digital design," IEEE Journal of Solid-State Circuits, Vol. 27(4). Apr 1992
[4]
J. Lorch and A. J. Smith, "Software strategies for portable computer energy management," IEEE Personal Communication Magazine, Vol. 5 (3), pp. 60--73, Jun 1998
[5]
L Benini, A Bogliolo, and G. Micheli, "A survey of design techniques for system-level dynamic power management," IEEE Transactions on VLSI Systems, Vol. 8(3), Jun 2000
[6]
K. Shin and Y. Lee, "Error detection process-model, design and its impact on computer performance," IEEE Transactions on Computers, Vol. C(33), pp. 529--540, Jun 1984
[7]
K. Chandy, J. Browne, C. Dissly and W. Uhrig, "Analytic models for rollback and recovery strategies in data base systems," IEEE Transactions on Software Engineering, Vol. 1, pp. 100--110, Mar 1975
[8]
D. Pradhan, Fault Tolerance Computing: Theory and Techniques, Prentice Hall, 1986
[9]
T. Ishihara and H. Yasuura, "Voltage scheduling problem for dynamically variable voltage processors," Proceedings, ISLPED, Aug 1998
[10]
Y. Shin, K. Choi and T. Sakurai, "Power optimization of real time embedded systems on variable speed processors," Proceedings, ICCAD, pp. 365--368, Jun 2000
[11]
G. Quan and X. Hu, "Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors," Proceedings, DAC, pp. 828--833, Jun 2001
[12]
K. Shin, T. Lin and Y. Lee, "Optimal checkpointing of real-time tasks," IEEE Transactions on Computers, Vol. 36(11), pp. 1328--1341, Nov 1987
[13]
A. Ziv and J. Bruck, "An on-line algorithm for checkpoint placement," IEEE Transactions on Computers, Vol. 46(9), pp. 976--985, Sep 1997
[14]
S. Kwak, B. Choi and B. Kim, "An optimal checkpointing strategy for real-time control systems under transient faults," IEEE Transactions on Reliability, Vol. 50(3), pp. 293--301, Sep 2001
[15]
V. Gutnik and A. Chandrakasan, "An efficient controller for variable supply-voltage low power processing," Symposium on VLSI Circuits, pp. 158--159, 1996
[16]
W. Namgoong, M. Yu, and T. Meng, "A high-efficiency variable-voltage CMOS dynamic DC-DC switching regulator," IEEE International Solid-State Circuits Conference, pp. 380--381, 1997
[17]
Y. Zhang and K. Chakrabarty, "Energy-aware adaptive checkpointing in embedded real-time systems," Proceedings, DATE, pp. 918--923, 2003
[18]
R. Melhem, D. Moss and E. Elnozahy, "The interplay of power management and fault recovery in real-time systems," IEEE Transactions on Computers, Vol. 53(2), pp. 217--231, Feb 2004
[19]
Y. Zhang and K. Chakrabarty, "Energy-aware fault tolerance in fixed-priority real-time embedded systems," Proceedings, ICCAD, pp. 209--214, 2003
[20]
C. Liu and J. Layland, "Scheduling algorithms for multiprogramming in a hard real time environment," Journal of the ACM, Vol. 20(1), pp. 46--61, 1973
[21]
J. Lehoczky, L. Sha and Y. Ding, "The rate monotonic scheduling algorithm: exact characterization and average case behavior," Proceedings, IEEE Real-Time Systems Symposium, pp. 166--171, 1989
[22]
Y. Zhang and K. Chakrabarty, "Task feasibility analysis and dynamic voltage scaling in fault-tolerant real-time embedded systems," Proceedings, DATE, Vol. 2, 2004
[23]
T. Cormen, C. Leiserson, R. Rivest and C. Stein, Introduction to Algorithm, The MIT Press, 2001
[24]
J. Rabaey, A. Chandrakasan and B. Nikolic, Digital Integrated Circuits: a Design Perspective, Prentice Hall, 2002
[25]
Y. Shin and K. Choi, "Power conscious fixed priority scheduling for hard real-time systems," Proceedings, DAC, pp. 134--139, 1999
[26]
A. Bruns, K. Tindell and A. Sellings, "Effective analysis for engineering real-time fixed priority schedulers," IEEE Transactions on Software Engineering, Vol. 21(5), May 1995

Cited By

View all
  • (2020)TIRUB: A Safety and Energy-Aware Scheduling Algorithm2020 Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA)10.23919/SPA50552.2020.9241280(63-68)Online publication date: 23-Sep-2020
  • (2014)Provably minimal energy using coordinated DVS and power gatingProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2617034(1-6)Online publication date: 24-Mar-2014
  • (2013)Shared recovery for energy efficiency and reliability enhancements in real-time applications with precedence constraintsACM Transactions on Design Automation of Electronic Systems10.1145/2442087.244209418:2(1-21)Online publication date: 11-Apr-2013
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '06: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
November 2006
147 pages
ISBN:1595933891
DOI:10.1145/1233501
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 05 November 2006

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

ICCAD06
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)1
  • Downloads (Last 6 weeks)0
Reflects downloads up to 03 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2020)TIRUB: A Safety and Energy-Aware Scheduling Algorithm2020 Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA)10.23919/SPA50552.2020.9241280(63-68)Online publication date: 23-Sep-2020
  • (2014)Provably minimal energy using coordinated DVS and power gatingProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2617034(1-6)Online publication date: 24-Mar-2014
  • (2013)Shared recovery for energy efficiency and reliability enhancements in real-time applications with precedence constraintsACM Transactions on Design Automation of Electronic Systems10.1145/2442087.244209418:2(1-21)Online publication date: 11-Apr-2013
  • (2012)Scheduling and Optimization of Fault-Tolerant Embedded Systems with Transparency/Performance Trade-OffsACM Transactions on Embedded Computing Systems10.1145/2345770.234577311:3(1-35)Online publication date: 1-Sep-2012
  • (2012)Quasi-static fault-tolerant scheduling schemes for energy-efficient hard real-time systemsJournal of Systems and Software10.1016/j.jss.2012.01.02085:6(1386-1399)Online publication date: 1-Jun-2012
  • (2010)Hardware/software optimization of error detection implementation for real-time embedded systemsProceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis10.1145/1878961.1878970(41-50)Online publication date: 24-Oct-2010
  • (2009)Energy minimization for real-time systems with non-convex and discrete operation modesProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874961(1416-1421)Online publication date: 20-Apr-2009
  • (2009)Designing a multi-core hard real-time test bed for energy measurement experimentsProceedings of the 2009 ACM symposium on Applied Computing10.1145/1529282.1529727(1998-1999)Online publication date: 8-Mar-2009

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media