Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1837274.1837295acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Non-uniform clock mesh optimization with linear programming buffer insertion

Published: 13 June 2010 Publication History

Abstract

Clock meshes are extremely effective at filtering clock skew from environmental and process variations. For this reason, clock meshes are used in most high performance designs. However, this robustness costs power. In this work, we present a mesh edge displacement algorithm that is able to reduce mesh wire length by 7.6% and overall power by 10.5% with a small mean skew improvement. We also present the first non-greedy buffer placement and sizing technique using linear programming (LP) and iterative buffer removal. We show that compared to prior methods, we can obtain 41% power reduction and an 27ps mean skew reduction on average when variation is considered compared to prior algorithms.

References

[1]
H. B. Bakoglu, J. T. Walker, and J. D. Meindl. A symmetric clock distribution tree and optimized high-speed interconnections for reduced clock skew in ULSI and WSI circuits. In ICCD, pages 118--122, 1986.
[2]
Cadence. SoC Encounter User Guide. Dec. 2005.
[3]
M. Desai, R. Cvijetic, and J. Jensen. Sizing of clock distribution networks for high performance CPU chips. In DAC, pages 389--394, 1996.
[4]
M. Guthaus, D. Sylvester, and R. Brown. Clock buffer and wire sizing using sequential programming. In DAC, pages 1041--1046, 2006.
[5]
M. Guthaus, D. Sylvester, and R. Brown. Clock tree synthesis with data-path sensitivity matching. In ASP-DAC, pages 498--503, 2008.
[6]
J. M. Hart, K. T. Lee, et al. Implementation of a fourth-generation 1.8-GHz dual-core SPARC V9 microprocessor. JSSC, 41(1):210--217, Jan. 2006.
[7]
S. Lloyd. Least squares quantization in PCM. IEEE Transactions on Information Theory, pages 129--137, 1982.
[8]
Ngspice circuit simulator release 19. http://ngspice.sourceforge.net/, 2009.
[9]
A. Rajaram and D. Z. Pan. Meshworks: an efficient framework for planning, synthesis and optimization of clock mesh networks. In Conference on Asia and South Pacific design automation, ASP-DAC, pages 250--257, Seoul, Korea, 2008. Los Alamitos, IEEE Computer Society Press.
[10]
P. Restle, C. Carter, J. Eckhardt, B. Krauter, B. McCredie, K. Jenkins, A. Weger, and A. Mule. The clock distribution of the power4 microprocessor. In ISSCC, pages 144--145, Feb. 2002.
[11]
C. N. Sze, P. Restle, G.-J. Nam, and C. J. Alpert:. Clocking and the ISPD'09 clock synthesis contest. In ISPD, pages 149--150, 2009.
[12]
M. G. R. Thomson, P. J. Restle, and N. K. James. A 5GHz duty-cycle correcting clock distribution network for the POWER6 microprocessor. In ISSCC, pages 1522--1529, Feb. 2006.
[13]
G. Venkataraman, Z. Feng, J. Hu, and P. Li. Combinatorial algorithms for fast clock mesh optimization. In ICCAD, pages 563--567, 2006.
[14]
G. Venkataraman, N. Jayakumar, J. Hu, P. Li, S. Khatri, A. Rajaram, P. McGuinness, and C. J. Alpert. Practical techniques to reduce skew and its variations in buffered clock networks. In ICCAD, pages 592--596, November 2005.
[15]
K. Wang and M. Marek-Sadowska. Buffer sizing for clock power minimization subject to general skew constraints. In DAC, pages 159--164, 2004.
[16]
T. Xanthopoulos, D. W. Bailey, A. K. Gangwar, M. K. Gowan, A. K. Jain, and B. K. Prewitt. The design and analysis of the clock distribution network for a 1.2GHz alpha microprocessor. In ISSCC, pages 402--403, Feb. 2001.

Cited By

View all
  • (2024)Clock mesh synthesis through dynamic programming with physical parameters considerationIntegration10.1016/j.vlsi.2024.102261(102261)Online publication date: Aug-2024
  • (2023)Cross-Mesh Clock Network SynthesisElectronics10.3390/electronics1216341012:16(3410)Online publication date: 11-Aug-2023
  • (2020)Virtual-Tile-Based Flip-Flop Alignment Methodology for Clock Network Power OptimizationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2020.296691228:5(1256-1268)Online publication date: May-2020
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '10: Proceedings of the 47th Design Automation Conference
June 2010
1036 pages
ISBN:9781450300025
DOI:10.1145/1837274
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 13 June 2010

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. clock mesh optimization
  2. robust design

Qualifiers

  • Research-article

Conference

DAC '10
Sponsor:

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)7
  • Downloads (Last 6 weeks)0
Reflects downloads up to 30 Aug 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Clock mesh synthesis through dynamic programming with physical parameters considerationIntegration10.1016/j.vlsi.2024.102261(102261)Online publication date: Aug-2024
  • (2023)Cross-Mesh Clock Network SynthesisElectronics10.3390/electronics1216341012:16(3410)Online publication date: 11-Aug-2023
  • (2020)Virtual-Tile-Based Flip-Flop Alignment Methodology for Clock Network Power OptimizationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2020.296691228:5(1256-1268)Online publication date: May-2020
  • (2020)Optimal Generalized H-Tree Topology and Buffering for High-Performance and Low-Power Clock DistributionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.288975639:2(478-491)Online publication date: Feb-2020
  • (2017)Ping-Pong MeshIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.256520236:1(146-155)Online publication date: 1-Jan-2017
  • (2016)Non-Uniform Clock Mesh Synthesis with Clock Gating and Register ClusteringIEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences10.1587/transfun.E99.A.2388E99.A:12(2388-2397)Online publication date: 2016
  • (2016)Clock Design and SynthesisElectronic Design Automation for IC Implementation, Circuit Design, and Process Technology10.1201/b19714-13(261-282)Online publication date: 14-Apr-2016
  • (2015)Design and Optimization of Multiple-Mesh Clock NetworkVLSI-SoC: Internet of Things Foundations10.1007/978-3-319-25279-7_3(39-57)Online publication date: 25-Nov-2015
  • (2014)Clock Mesh Network Design with Through-Silicon Vias in 3D Integrated CircuitsETRI Journal10.4218/etrij.14.0113.125736:6(931-941)Online publication date: 1-Dec-2014
  • (2014)Variation Aware Design of Post-Silicon Tunable Clock BufferProceedings of the 2014 IEEE Computer Society Annual Symposium on VLSI10.1109/ISVLSI.2014.95(1-6)Online publication date: 9-Jul-2014
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media