Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2206781.2206822acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

CMOS compatible many-core noc architectures with multi-channel millimeter-wave wireless links

Published: 03 May 2012 Publication History

Abstract

Traditional many-core designs based on the Network-on-Chip (NoC) paradigm suffer from high latency and power dissipation as the system size scales up due to their inherent multi-hop communication. NoC performance can be significantly enhanced by introducing long-range, low power, and high-bandwidth single-hop wireless links between far apart cores. This paper presents a design methodology and performance evaluation for a hierarchical small-world NoC with CMOS compatible on-chip millimeter (mm)-wave wireless long-range communication links. The proposed wireless NoC offers significantly higher bandwidth and lower energy dissipation compared to its conventional non-hierarchical wired counterpart in presence of both uniform and non-uniform traffic patterns. The performance improvement is achieved through efficient data routing and optimum placement of wireless hubs. Multiple wireless shortcuts operating simultaneously provide an energy efficient solution for design of many-core communication infrastructures.

References

[1]
Ogras, U. Y. and Marculescu R., 2006. It's a Small World After All: NoC Performance Optimization via Long-Range Link Insertion. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, no. 7, pp. 693--706.
[2]
Kumar A., et al. 2008. Toward Ideal On-Chip Communication Using Express Virtual Channels. IEEE Micro, vol. 28, no. 1, pp. 80--90.
[3]
Shacham A., et al. 2008. Photonic Network-on-Chip for Future Generations of Chip Multi-Processors. IEEE Transactions on Computers, vol. 57, no. 9, pp. 1246--1260.
[4]
Joshi A., et al. 2009. Silicon-Photonic Clos Network for Global On-Chip Communication. Proceedings of the 3rd International Symposium on Networks-on-Chip (NOCS-3), pp. 124--133.
[5]
Feero B. and Pande P. P., 2009. Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation, IEEE Transactions on Computers, Vol. 58, No. 1, pp. 32--45.
[6]
Davis W. R. et al., 2005. Demystifying 3D ICs: The pros and cons of going vertical. IEEE Design and Test of Computers, Vol. 22, Issue 6, pp. 498--510.
[7]
Chang M. F., et al. 2008. CMP Network-on-Chip Overlaid With Multi-Band RF-Interconnect. Proceedings of IEEE International Symposium on High-Performance Computer Architecture (HPCA), pp. 191--202.
[8]
Zhao D. and Wang Y., 2008. SD-MAC: Design and Synthesis of A Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip. IEEE Transactions on Computers, vol. 57, no. 9, pp. 1230--1245.
[9]
Lee S. B., et al. 2009. .A Scalable Micro Wireless Interconnect Structure for CMPs. Proceedings of ACM Annual International Conference on Mobile Computing and Networking, pp. 20--25.
[10]
Kempa, K. et al. 2007. Carbon Nanotubes as Optical Antennae. Advanced Materials, vol. 19, pp. 421--426.
[11]
Ganguly A. et al., 2011. Scalable Hybrid Wireless Network-on-Chip Architectures for Multi-Core Systems. IEEE Transactions on Computers (TC), vol. 60, issue 10, pp. 1485--1502.
[12]
Deb S., et al. 2010. Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects. Proceedings of IEEE International Conference on ASAP, pp. 73--80.
[13]
Chang K. et al., 2011. Performance Evaluation and Design Trade-Offs for Wireless Network-on-Chip Architectures. In ACM Journal on Emerging Technologies in Computing Systems (JETC).
[14]
Kirkpatrick S. et al., 1983. Optimization by Simulated Annealing. Science. New Series 220 (45978): 671--680.
[15]
Floyd B. A., et al. 2002. Intra-Chip Wireless Interconnect for Clock Distribution Implemented With Integrated Antennas, Receivers, and Transmitters. IEEE Journal of Solid-State Circuits, vol. 37, no. 5, pp. 543--552.
[16]
Yu X., et al., 2010. Performance Evaluation and Receiver Front-end Design for On-chip millimeter-wave Wireless Interconnect. Proceeding of the International Green Computing Conference, pp. 555--560.
[17]
Draper J. and Petrini F., 1997. Routing in Bidirectional k-ary n-cube switch the Red Rover Algorithm. In Proceedings of the International conference on Parallel and Distributed Processing Techniques and Applications, 1184--93.
[18]
Kumar A., Peh L. S. and Jha N. K., 2008. Token flow control. In Proceedings of the 41st IEEE/ACM International Symposium on Microarchitecture (MICRO '08), 342--353.
[19]
Pande P. P., et al., 2005. Performance Evaluation and Design Trade-offs for Network-on-chip Interconnect Architectures. IEEE Transactions on Computers, Vol. 54, No. 8, pp. 1025--1040.

Cited By

View all
  • (2019)Dynamically Reconfigurable RF-NoC with Distance-Aware Routing Algorithm2019 14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)10.1109/ReCoSoC48741.2019.9034949(98-104)Online publication date: Jul-2019
  • (2019)An energy-efficient partition-based XYZ-planar routing algorithm for a wireless network-on-chipThe Journal of Supercomputing10.1007/s11227-018-2617-x75:2(837-861)Online publication date: 1-Feb-2019
  • (2017)Improving Energy Efficiency in Wireless Network-on-Chip ArchitecturesACM Journal on Emerging Technologies in Computing Systems10.1145/313880714:1(1-24)Online publication date: 3-Nov-2017
  • Show More Cited By

Index Terms

  1. CMOS compatible many-core noc architectures with multi-channel millimeter-wave wireless links

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    GLSVLSI '12: Proceedings of the great lakes symposium on VLSI
    May 2012
    388 pages
    ISBN:9781450312448
    DOI:10.1145/2206781
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 03 May 2012

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. NoC
    2. many-core
    3. small-world
    4. wireless

    Qualifiers

    • Research-article

    Conference

    GLSVLSI '12
    Sponsor:
    GLSVLSI '12: Great Lakes Symposium on VLSI 2012
    May 3 - 4, 2012
    Utah, Salt Lake City, USA

    Acceptance Rates

    Overall Acceptance Rate 312 of 1,156 submissions, 27%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)16
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 02 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2019)Dynamically Reconfigurable RF-NoC with Distance-Aware Routing Algorithm2019 14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)10.1109/ReCoSoC48741.2019.9034949(98-104)Online publication date: Jul-2019
    • (2019)An energy-efficient partition-based XYZ-planar routing algorithm for a wireless network-on-chipThe Journal of Supercomputing10.1007/s11227-018-2617-x75:2(837-861)Online publication date: 1-Feb-2019
    • (2017)Improving Energy Efficiency in Wireless Network-on-Chip ArchitecturesACM Journal on Emerging Technologies in Computing Systems10.1145/313880714:1(1-24)Online publication date: 3-Nov-2017
    • (2017)Interference-Aware Wireless Network-on-Chip Architecture Using Directional AntennasIEEE Transactions on Multi-Scale Computing Systems10.1109/TMSCS.2016.25955273:3(193-205)Online publication date: 1-Jul-2017
    • (2017)Path loss-aware adaptive transmission power control scheme for energy-efficient wireless NoC2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS.2017.8052878(132-135)Online publication date: Aug-2017
    • (2017)Wireless NoCs Using Directional and Substrate Propagation Antennas2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI.2017.41(188-193)Online publication date: Jul-2017
    • (2016)Adaptive multi-voltage scaling in wireless NoC for high performance low power applicationsProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972115(1315-1320)Online publication date: 14-Mar-2016
    • (2016)Hierarchical Cluster Based NoC Design Using Wireless Interconnects for Coherence SupportProceedings of the 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID)10.1109/VLSID.2016.54(63-68)Online publication date: 4-Jan-2016
    • (2016)Runtime Tunable Transmitting Power Technique in mm-Wave WiNoC ArchitecturesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.244927524:4(1535-1545)Online publication date: Apr-2016
    • (2016)Power efficient router architecture for wireless Network-on-Chip2016 17th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED.2016.7479205(227-233)Online publication date: Mar-2016
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media