Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2463209.2488873acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Analysis and characterization of inherent application resilience for approximate computing

Published: 29 May 2013 Publication History

Abstract

Approximate computing is an emerging design paradigm that enables highly efficient hardware and software implementations by exploiting the inherent resilience of applications to in-exactness in their computations. Previous work in this area has demonstrated the potential for significant energy and performance improvements, but largely consists of ad hoc techniques that have been applied to a small number of applications. Taking approximate computing closer to mainstream adoption requires (i) a deeper understanding of inherent application resilience across a broader range of applications (ii) tools that can quantitatively establish the inherent resilience of an application, and (iii) methods to quickly assess the potential of various approximate computing techniques for a given application. We make two key contributions in this direction. Our primary contribution is the analysis and characterization of inherent application resilience present in a suite of 12 widely used applications from the domains of recognition, data mining, and search. Based on this analysis, we present several new insights into the nature of resilience and its relationship to various key application characteristics. To facilitate our analysis, we propose a systematic framework for Application Resilience Characterization (ARC) that (a) partitions an application into resilient and sensitive parts and (b) characterizes the resilient parts using approximation models that abstract a wide range of approximate computing techniques. We believe that the key insights that we present can help shape further research in the area of approximate computing, while automatic resilience characterization frameworks such as ARC can greatly aid designers in the adoption approximate computing.

References

[1]
P. Dubey. A Platform 2015 Workload Model Recognition, Mining and Synthesis Moves Computers to the Era of Tera. White paper, Intel Corp., 2005.
[2]
Rajamohana Hegde and Naresh R. Shanbhag. Energy-efficient signal processing via algorithmic noise-tolerance. In Proc. Int. Symp. on Low Power Electronics and Design, pages 30--35, 1999.
[3]
Krishna V. Palem, Lakshmi N. Chakrapani, Zvi M. Kedem, Lingamneni Avinash, and Kirthi Krishna Muntimadugu. Sustaining moore's law in embedded computing through probabilistic and approximate design: retrospects and prospects. In CASES, pages 1--10, 2009.
[4]
Debabrata Mohapatra et.al. Design of Voltage Scalable Metafunctions for Multimedia, Recoginition and Mining Applications. In Proc. DATE, pages 950--955, 2011.
[5]
Vaibhav Gupta et.al. IMPACT: Imprecise Adders for Low-Power Approximate Computing. In Proc. ISLPED, pages 409--414, 2011.
[6]
P. Kulkarni, P. Gupta, and M. Ercegovac. Trading accuracy for power with an underdesigned multiplier architecture. In VLSI Design, pages 346--351, 2011.
[7]
V. K. Chippa, D. Mohapatra, A. Raghunathan, K. Roy, and S. T. Chakradhar. Scalable Effort Hardware Design: Exploiting Algorithmic Resilience for Energy Efficiency. In DAC'10.
[8]
Vinay K. Chippa, Anand Raghunathan, Kaushik Roy, and Srimat T. Chakradhar. Dynamic Effort Scaling: Managing the Quality Efficiency Tradeoff. In Proceedings of the 48th Design Automation Conference (DAC'11), pages 603--608, San Diego, California, USA, 2011. ACM.
[9]
Hadi Esmaeilzadeh et.al. Architecture Support for Disciplined Approximate Programming. SIGARCH Comput. Archit. News, 40(1):301--312, March 2012.
[10]
S. T. Chakradhar and A. Raghunathan. Best-effort Computing: Re-thinking Parallel Software and Hardware. In Proc. DAC, pages 865--870, 2010.
[11]
W. Baek and Trishul M. Chilimbi. Green: A Framework for Supporting Energy-Conscious Programming using Controlled Approximation. In Proc. PLDI, pages 198--209, 2010.
[12]
Sidiroglou-Douskos et.al. Managing Performance vs. Accuracy Trade-offs with Loop Perforation. ESEC/FSE, pages 124--134, 2011.
[13]
Larkhoon Leem et.al. ERSA: Error Resilient System Architecture for Probabilistic Applications. In DATE, pages 1560--1565, 2010.
[14]
Mei-Chen Hsueh et.al. Fault Injection Techniques and Tools. Computer, 30(4):75--82, April 1997.
[15]
Jason Cong and Karthik Gururaj. Assuring Application-Level Correctness Against Soft Errors. ICCAD, pages 150--157, 2011.
[16]
S. Venkataramani et.al. SALSA: Systematic Logic Synthesis of Approximate Circuits. Proc. DAC, pages 796--801, 2012.
[17]
R. Amirtharajah et.al. A Micro-Power Programmable DSP Using Approximate Signal Processing Based on Distributed Arithmetic. In JSSC, pages 337--347, 2004.
[18]
S. Rehman, M. Shafique, F. Kriebel, and J. Henkel. Raise: Reliability-aware instruction scheduling for unreliable hardware. In Proc. ASP-DAC, pages 671--676, 2012.
[19]
H. Duwe. Exploiting application level error resilience via deferred execution. Master's thesis, University of Illinois at Urbana Champaign, 2013.
[20]
J. Meng et.al. Best-Effort Parallel Execution Framework for Recognition and Mining Applications. IPDPS, pages 1--12, 2009.
[21]
Department of machine learning. www.nec-labs.com/research.
[22]
Thorsten Joachims. Advances in Kernel Methods. chapter Making large-scale support vector machine learning practical, pages 169--184. MIT Press, 1999.
[23]
Yann Lecun and Corinna Cortes. The MNIST Database of Handwritten Digits.
[24]
D. Martin et.al. A Database of Human Segmented Natural Images and its Application to Evaluating Segmentation Algorithms and Measuring Ecological Statistics. In Proc. 8th Int'l Conf. Computer Vision, volume 2, pages 416--423, 2001.
[25]
Richard M. Yoo, Anthony Romano, and Christos Kozyrakis. Phoenix Rebirth: Scalable MapReduce on a Large-Scale Shared-Memory System.
[26]
Cheng-Tao Chu et.al. Map-Reduce for Machine Learning on Multicore. In Advances in Neural Information Processing Systems 19, pages 281--288. 2007.
[27]
A. Frank and A. Asuncion. UCI Machine Learning Repository, 2010.
[28]
Christian Bienia. Benchmarking Modern Multiprocessors. PhD thesis, Princeton University, January 2011.

Cited By

View all
  • (2024)Cost-effective Alternatives for Squarer in Pan-Tompkins AlgorithmProceedings of the 2024 6th International Electronics Communication Conference10.1145/3686625.3686631(31-36)Online publication date: 19-Jul-2024
  • (2024)Layer-Sensitive Neural Processing Architecture for Error-Tolerant ApplicationsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2024.336964832:5(797-809)Online publication date: May-2024
  • (2024) cecApprox: Enabling Automated Combinational Equivalence Checking for Approximate Circuits IEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.338825671:7(3282-3293)Online publication date: Jul-2024
  • Show More Cited By

Index Terms

  1. Analysis and characterization of inherent application resilience for approximate computing

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '13: Proceedings of the 50th Annual Design Automation Conference
    May 2013
    1285 pages
    ISBN:9781450320719
    DOI:10.1145/2463209
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 29 May 2013

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. approximate computing
    2. inherent application resilience
    3. re-silience characterization

    Qualifiers

    • Research-article

    Conference

    DAC '13
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)72
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 15 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Cost-effective Alternatives for Squarer in Pan-Tompkins AlgorithmProceedings of the 2024 6th International Electronics Communication Conference10.1145/3686625.3686631(31-36)Online publication date: 19-Jul-2024
    • (2024)Layer-Sensitive Neural Processing Architecture for Error-Tolerant ApplicationsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2024.336964832:5(797-809)Online publication date: May-2024
    • (2024) cecApprox: Enabling Automated Combinational Equivalence Checking for Approximate Circuits IEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.338825671:7(3282-3293)Online publication date: Jul-2024
    • (2024)Hardware-Efficient Logarithmic Floating-Point Multipliers for Error-Tolerant ApplicationsIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2023.332632971:1(209-222)Online publication date: Jan-2024
    • (2024)Design of a Hardware-Efficient Floating-Point Multiplier with Dynamic Segmentation2024 19th Conference on Ph.D Research in Microelectronics and Electronics (PRIME)10.1109/PRIME61930.2024.10559705(1-4)Online publication date: 9-Jun-2024
    • (2024)Interleaved Execution of Approximated CUDA Kernels in Iterative Applications2024 32nd Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP)10.1109/PDP62718.2024.00017(60-67)Online publication date: 20-Mar-2024
    • (2024)A Low-Power DNN Accelerator With Mean-Error-Minimized Approximate Signed MultiplierIEEE Open Journal of Circuits and Systems10.1109/OJCAS.2023.32792515(57-68)Online publication date: 2024
    • (2024)Comprehensive Analysis of Input Order Invariant Approximate 4-2 Compressors for Binary Multipliers2024 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS58744.2024.10558503(1-5)Online publication date: 19-May-2024
    • (2024)Design of Efficient Approximate Unsigned Multiplier using VariousApproximate Compressor Configurations2024 International Conference on Smart Systems for Electrical, Electronics, Communication and Computer Engineering (ICSSEECC)10.1109/ICSSEECC61126.2024.10649502(415-420)Online publication date: 28-Jun-2024
    • (2024)Approximate Fault-Tolerant Neural Network Systems2024 IEEE European Test Symposium (ETS)10.1109/ETS61313.2024.10567290(1-10)Online publication date: 20-May-2024
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media