Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2656106.2656121acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

EnVM: virtual memory design for new memory architectures

Published: 12 October 2014 Publication History
  • Get Citation Alerts
  • Abstract

    Virtual memory is optimized for SRAM-based memory devices in which memory accesses are symmetric, i.e., the latency of read and write accesses are similar. Unfortunately, with the emergence of newer non-volatile memory (NVM) technologies that are denser and more energy efficient, this assumption is no longer valid. For example, STT-RAMs are known to have high write latencies and limited write endurance which the virtual memory is unaware of. A popular architecture is a hybrid cache that uses both SRAM and NVM. There are a number of proposals for such architectures at nearly all the levels of the cache. However, these proposals are often self-contained with monitoring and management schemes implemented with special hardware at the level where the cache is deployed. With moves to use NVM at several levels of the memory hierarchy, such solutions may lead to duplication and higher overheads. Worse, because the management algorithms implemented can be different at different levels of memory, it may lead to negative interference between them resulting in impaired efficiency.
    In this paper, we propose a virtual memory design, EnVM, that takes into consideration the idiosyncrasies of NVM-based hybrid caches. The new virtual memory layout is implicitly used to allocate data to NVM and SRAM at any level of the memory hierarchy and is not dependant on the particular arrangements of the two partitions. The proposed design successfully filters out write operations and allocates them to SRAM. Moreover, it can be applied to any existing fine-grained data allocation technique to enhance the efficiency of these memories.

    References

    [1]
    Y. Chen, W.-F. Wong, H. Li, and C.-K. Koh. Processor caches with multi-level spin-transfer torque ram cells. In Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design, ISLPED '11, pages 73--78, Piscataway, NJ, USA, 2011. IEEE Press.
    [2]
    Y.-T. Chen, J. Cong, H. Huang, C. Liu, R. Prabhakar, and G. Reinman. Static and dynamic co-optimizations for blocks mapping in hybrid caches. In Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design, ISLPED '12, pages 237--242, New York, NY, USA, 2012. ACM.
    [3]
    J. Coburn, A. M. Caulfield, A. Akel, L. M. Grupp, R. K. Gupta, R. Jhala, and S. Swanson. Nv-heaps: Making persistent objects fast and safe with next-generation, non-volatile memories. SIGPLAN Not., 47(4):105--118, Mar. 2011.
    [4]
    X. Dong, C. Xu, Y. Xie, and N. Jouppi. Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 31(7):994--1007, Jul 2012.
    [5]
    Y. Huang, T. Liu, and C. Xue. Register allocation for write activity minimization on non-volatile main memory. In Design Automation Conference (ASP-DAC), 2011 16th Asia and South Pacific, pages 129--134, Jan 2011.
    [6]
    A. Jadidi, M. Arjomand, and H. Sarbazi-Azad. High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement. In Low Power Electronics and Design (ISLPED) 2011 International Symposium on, pages 79--84, Aug 2011.
    [7]
    A. Jog, A. K. Mishra, C. Xu, Y. Xie, V. Narayanan, R. Iyer, and C. R. Das. Cache revive: Architecting volatile stt-ram caches for enhanced performance in cmps. In Proceedings of the 49th Annual Design Automation Conference, DAC '12, pages 243--252, New York, NY, USA, 2012. ACM.
    [8]
    Y. Joo, D. Niu, X. Dong, G. Sun, N. Chang, and Y. Xie. Energy- and endurance-aware design of phase change memory caches. In Design, Automation Test in Europe Conference Exhibition (DATE), 2010, pages 136--141, Mar 2010.
    [9]
    U. P. Khedker, A. Sanyal, and A. Karkare. Heap reference analysis using access graphs. ACM Trans. Program. Lang. Syst., 30(1), Nov. 2007.
    [10]
    Q. Li, J. Li, L. Shi, C. J. Xue, and Y. He. Mac: migration-aware compilation for STT-RAM based hybrid cache in embedded systems. In Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, ISLPED '12, pages 351--356, New York, NY, USA, 2012. ACM.
    [11]
    Q. Li, M. Zhao, C. J. Xue, and Y. He. Compiler-assisted preferred caching for embedded systems with STT-RAM based hybrid cache. In Proceedings of the 13th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, Tools and Theory for Embedded Systems, LCTES '12, pages 109--118, New York, NY, USA, 2012. ACM.
    [12]
    N. Muralimanohar, R. Balasubramonian, and N. Jouppi. Architecting efficient interconnects for large caches with cacti 6.0. Micro, IEEE, 28(1):69--79, Jan.-Feb.
    [13]
    H. Naeimi, C. Augustine, A. Raychowdhury, S.-L. Lu, and J. Tschanz. Sttram scaling and retention failure. Intel Technology Journal, 17(1):54--75, 2013.
    [14]
    G. Novark, E. D. Berger, and B. G. Zorn. Efficiently and precisely locating memory leaks and bloat. SIGPLAN Not., 44(6):397--407, June 2009.
    [15]
    A. Patel, F. Afram, S. Chen, and K. Ghose. MARSSx86: A Full System Simulator for x86 CPUs. In Design Automation Conference 2011 (DAC'11), 2011.
    [16]
    N. Rinetzky, G. Ramalingam, M. Sagiv, and E. Yahav. On the complexity of partially-flow-sensitive alias analysis. ACM Trans. Program. Lang. Syst., 30(3):13:1--13:28, May 2008.
    [17]
    SPEC. Spec cpu2006. In http://www.spec.org/cpu2006/, 2006.
    [18]
    G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen. A novel architecture of the 3d stacked mram l2 cache for cmps. In High Performance Computer Architecture, 2009. HPCA 2009. IEEE 15th International Symposium on, pages 239--249, Feb 2009.
    [19]
    Z. Sun, X. Bi, H. H. Li, W.-F. Wong, Z.-L. Ong, X. Zhu, and W. Wu. Multi retention level STT-RAM cache designs with a dynamic refresh scheme. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, pages 329--338, New York, NY, USA, 2011. ACM.
    [20]
    X. Wu, J. Li, L. Zhang, E. Speight, and Y. Xie. Power and performance of read-write aware hybrid caches with non-volatile memories. In Proceedings of the Conference on Design, Automation and Test in Europe, DATE '09, pages 737--742, 3001 Leuven, Belgium, Belgium, 2009. European Design and Automation Association.
    [21]
    Y. Wu and J. R. Larus. Static branch frequency and program profile analysis. In Proceedings of the 27th annual international symposium on Microarchitecture, MICRO 27, pages 1--11, New York, NY, USA, 1994. ACM.
    [22]
    C. J. Xue, Y. Zhang, Y. Chen, G. Sun, J. J. Yang, and H. Li. Emerging non-volatile memories: opportunities and challenges. In Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, CODES+ISSS '11, pages 325--334, New York, NY, USA, 2011. ACM.
    [23]
    P. Zhou, B. Zhao, J. Yang, and Y. Zhang. Energy reduction for STT-RAM using early write termination. In Proceedings of the 2009 International Conference on Computer-Aided Design, ICCAD '09, pages 264--268, New York, NY, USA, 2009. ACM.

    Cited By

    View all
    • (2015)A Practical GetawayACM SIGPLAN Notices10.1145/2808704.275496150:5(1-11)Online publication date: 4-Jun-2015
    • (2015)A Practical GetawayProceedings of the 16th ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems 2015 CD-ROM10.1145/2670529.2754961(1-11)Online publication date: 4-Jun-2015

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CASES '14: Proceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems
    October 2014
    241 pages
    ISBN:9781450330503
    DOI:10.1145/2656106
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 12 October 2014

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Research-article

    Conference

    ESWEEK'14
    ESWEEK'14: TENTH EMBEDDED SYSTEM WEEK
    October 12 - 17, 2014
    New Delhi, India

    Acceptance Rates

    Overall Acceptance Rate 52 of 230 submissions, 23%

    Upcoming Conference

    ESWEEK '24
    Twentieth Embedded Systems Week
    September 29 - October 4, 2024
    Raleigh , NC , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 11 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2015)A Practical GetawayACM SIGPLAN Notices10.1145/2808704.275496150:5(1-11)Online publication date: 4-Jun-2015
    • (2015)A Practical GetawayProceedings of the 16th ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems 2015 CD-ROM10.1145/2670529.2754961(1-11)Online publication date: 4-Jun-2015

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media