Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2742060.2742092acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks

Published: 20 May 2015 Publication History

Abstract

Clock skew scheduling is a common and well known technique to improve the performance of sequential circuits by exploiting the mismatches in the data path delays. Existing clock skew scheduling techniques, however, cannot effectively consider heavily gated clock networks where a local clock tree exists between clock gating cells and registers. A methodology is proposed in this paper to efficiently achieve clock skew scheduling in circuits with gated clock networks. The methodology is implemented via both linear programming and constraint graph based approaches, and evaluated using the largest ISCAS'89 benchmark circuits with clock gating. The results demonstrate up to approximately 21% reduction in clock period while maintaining the power savings achieved by clock gating. A conventional design flow is used for the experiments, demonstrating the applicability of the proposed algorithms to automation.

References

[1]
E. Salman and E. G. Friedman, High Performance Integrated Circuit Design. McGraw-Hill, 2012.
[2]
E. G. Friedman, "Clock Distribution Networks in Synchronous Digital Integrated Circuits," Proceedings of the IEEE, Vol. 89, No. 5, pp. 665--692, May 2001.
[3]
I. S. Kourtev, B. Taskin, and E. G. Friedman, Timing Optimization Through Clock Skew Scheduling. Springer, 2009.
[4]
J. Neves and E. G. Friedman, "Optimal clock skew scheduling tolerant to process variations," Design Automation Conference, pp. 623--628, June 1996.
[5]
J. P. Fishburn, "Clock Skew Optimization," IEEE Transactions on Computers, Vol. 39, No. 7, pp. 945--951, July 1990.
[6]
T. G. Szymanski, "Computing Optimal Clock Schedules," ACM/IEEE Design Automation Conference, pp. 399--404, June 1992.
[7]
N. Shenoy, R. K. Brayton, and A. L. Sangiovanni-Vincentelli, "Graph Algorithms for Clock Skew Optimization," International Conference on Computer-Aided Design, pp. 132--136, November 1992.
[8]
R. Deokar and S. Sapatnekar, "A Graph-theoretic Approach to Clock Skew Optimization," Int. Symp. on Circuits and Systems, pp. 407--410, May 1994.
[9]
B. Taskin and I. S. Kourtev, "Delay Insertion Method in Clock Skew Scheduling," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 25, No. 4, pp. 651--663, April 2006.
[10]
S.-H. Huang, C.-H. Cheng, C.-M. Chang, and Y.-T. Nieh, "Clock Period Minimization with Minimum Delay Insertion," Design Automation Conference, pp. 970--975, June 2007.
[11]
K. Ravindran, A. Kuehlmann, and E. Sentovich, "Multi-domain Clock Skew Scheduling," International Conference on Computer-Aided Design, pp. 801--808, November 2003.
[12]
M. Ni and S. O. Memik, "A Fast Heuristic Algorithm for Multidomain Clock Skew Scheduling," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 18, No. 4, pp. 630--637, April 2010.
[13]
L. Li, Y. Lu, and H. Zhou, "Optimal and Efficient Algorithms for Multidomain Clock Skew Scheduling," IEEE Trans. on Very Large Scale Integration (VLSI) Systems, Vol. 22, No. 9, pp. 1888--1897, Sept. 2014.
[14]
Q. Wu, M. Pedram, and X. Wu, "Clock-gating and Its Application to Low Power Design of Sequential Circuits," IEEE Transactions on Circuits and Systems-I: Fundamental Theory and Applications, Vol. 47, No. 103, pp. 415--420, March 2000.
[15]
W.-P. Tu, S.-H. Huang, and C.-H. Cheng, "Co-synthesis of Data Paths and Clock Control Paths for Minimum-Period Clock Gating," Design, Automation And Test in Europe Conference And Exhibition (DATE), pp. 1831--1836, March 2013.
[16]
E. Salman, A. Dasdan, F.Taraporevala, K. Kucukcakar and E. G. Friedman, "Exploiting Setup-Hold Time Interdependence in Static Timing Analysis," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 26, No. 6, pp. 1114--1125, June 2007.
[17]
T. H. Cormen, C. E. Leiserson, R. L. Rivest, and C. Stein, Introduction to Algorithms. The MIT Press, 2001.
[18]
M. Donno, A. Ivaldi, L. Benini, and E. Macii, "Clock-tree Power Optimization based on RTL Clock-gating," Design Automation Conference, pp. 622--627, June 2003.
[19]
Synopsys. Design Compiler. http://www.synopsys.com/home.aspx.
[20]
NanGate. 45nm Open Cell Library. http://www.nangate.com.
[21]
GNU. GNU Linear Programming Kit. https://www.gnu.org/software/glpk/.

Cited By

View all
  • (2021)Frequency Scaling for High Performance of Low-End Pipelined ProcessorsAdvances in Science, Technology and Engineering Systems Journal10.25046/aj0602886:2(763-775)Online publication date: Mar-2021
  • (2019)Low Voltage Clock Tree Synthesis with Local Gate ClustersProceedings of the 2019 Great Lakes Symposium on VLSI10.1145/3299874.3318004(99-104)Online publication date: 13-May-2019
  • (2019)A Novel Glitch-Free Integrated Clock Gating Cell for High Reliability2019 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS.2019.8702507(1-5)Online publication date: May-2019
  • Show More Cited By

Index Terms

  1. Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    GLSVLSI '15: Proceedings of the 25th edition on Great Lakes Symposium on VLSI
    May 2015
    418 pages
    ISBN:9781450334747
    DOI:10.1145/2742060
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    • IEEE CEDA
    • IEEE CASS

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 20 May 2015

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. clock gating
    2. clock skew scheduling
    3. low power

    Qualifiers

    • Research-article

    Funding Sources

    Conference

    GLSVLSI '15
    Sponsor:
    GLSVLSI '15: Great Lakes Symposium on VLSI 2015
    May 20 - 22, 2015
    Pennsylvania, Pittsburgh, USA

    Acceptance Rates

    GLSVLSI '15 Paper Acceptance Rate 41 of 148 submissions, 28%;
    Overall Acceptance Rate 312 of 1,156 submissions, 27%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)1
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 12 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)Frequency Scaling for High Performance of Low-End Pipelined ProcessorsAdvances in Science, Technology and Engineering Systems Journal10.25046/aj0602886:2(763-775)Online publication date: Mar-2021
    • (2019)Low Voltage Clock Tree Synthesis with Local Gate ClustersProceedings of the 2019 Great Lakes Symposium on VLSI10.1145/3299874.3318004(99-104)Online publication date: 13-May-2019
    • (2019)A Novel Glitch-Free Integrated Clock Gating Cell for High Reliability2019 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS.2019.8702507(1-5)Online publication date: May-2019
    • (2016)Exploiting useful skew in gated low voltage clock trees2016 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS.2016.7539124(2595-2598)Online publication date: May-2016

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media