Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/274535.274550acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
Article
Free access

Global wires: harmful?

Published: 01 April 1998 Publication History
  • Get Citation Alerts
  • Abstract

    In this paper a shift is proposed in the design of vlsi circuits. In conventional design higher levels of synthesis have to deliver a gate and net list, from which layout synthesis has to built a mask specification for manufacturing. Analysis, mainly timing analysis, is built in a feedback loop to catch violations of timing requirements before sign-off. These violations are used to hand an updated specification to synthesis. Such iteration is not desirable, and for really high performance not feasible. To come to a design flow, higher level synthesis should distribute delay over the functional elements and interconnect, and layout synthesis should use its degrees of freedom to realize those delays.

    References

    [1]
    S. AkSyama, S. Ogaw-,q M. Yoneda, N. Yoshii, Y. Terui, Multilayer cmos device fabrication on laser recrystallized silicon islands, Technical Digest IEEE IEDM, pp.352-355, 1983
    [2]
    F.Beeftink, A.3. van Genderen, N.P. van der Meijs Accurate and efficient layout-to.circuit extraction for high sFeecl mos and bipolar/bicmos Integrated circuits Proceedings IEEE Int.Con. on Computer Design Austin, Texas, U.S.A., pp. 360-365, October 1995
    [3]
    H.B. Bakoglu, Circuits, interconnections, and packaging for vlsi, Addison-Wesley Pub Co, 1990
    [4]
    ILK. Brayton, C:L. Chert, 3.A.G. Jess, R.H.J.M. Otten, L.P.P.P. van Ginneken, Wire planning for stackable designs, Proceedings 1987 International Symposium on VLSI Technology, Systems and Applications, Taipeh, Taiwan, pp 269-273, May 1987
    [5]
    L.P.P.P. van Ginneken, R.II.J.M. Otten, Stepwise layout refinement, Proceedings International Conference on Computer Design, Port Chester, New York, U.S.A., pp. 30-36, October 1984
    [6]
    3. Grodstein, E. Lehman, H. Haxkness, B. Grundmann, Y. Watanabe, A delay model for logic synthesis of continuously-sized networks, Proceedings International Symposium on Computer Aided Design, San 3os~, California, U.S.A., pp.458-462, November 1995
    [7]
    S. Strickland, E. Ergin, D.R. Kaeli, P. Zavracky, VLSI design in the 3rd dimension, Integration, the vlsi journal, vol 24, nr 1, pp. 1-16, 1998
    [8]
    Y. Kukimoto, R.K. Brayton, P. Sawkax, Delay.optimal technology mapping by dag covering, Proceedings 35th Design Automation Conference, San Francisco, California, U.S.A., (to be published), June 1998
    [9]
    R.H.J.M. Otten, Complexity and diversity in ic layout design, Proceedings IEEE International Conference on Circuits and Computers, Port Chester, New York, U.S.A., pp. 764-767, October 1980
    [10]
    1LH.J.M. Otten, Layout compilation, in Design systems for vlsi circuits, edited by G. DeMicheli, A. Sangiovanni-Vincentelli and P.Antognetti, pp.439-472, Martinus Nijhoff Publishers, 1987
    [11]
    tLH.J.M. Otten, L.P.P.P. van Ginneken, N.V. Shenoy, Speed: new paradigms in design for performance, Proceedings International Symposium on Computer Aided Design, San Josd, California, U.S.A., p. 700, November 1996
    [12]
    Semiconductor Industry Association, The national technology roadmaR for semicondnetors: technology needs, California, U.S.A., 1997
    [13]
    [14]
    I. Sutherland, R. Sproull, The theory of logical effort: designing for speed on the back of an envelope, in Advanced Research in VLSI, pp. 3-16, UC Santa Cruz, 1991
    [15]
    N. Wixth, Program development by stepwise refinement, Communications of the ACM, vol 14, pp.221- 227, 1971
    [16]
    S. Wolf, Thin gate oxides: growth and reliability, chapter 7 in Silicon processing for the vlsi era volume 3, Lattice Press, 1994
    [17]
    W. Wulf, M. Shaw, Global variables considered harm. ful, Sigplan Notices, February 1973, pp.28-33

    Cited By

    View all
    • (2024)AiTO: Simultaneous gate sizing and buffer insertion for timing optimization with GNNs and RLIntegration10.1016/j.vlsi.2024.10221198(102211)Online publication date: Sep-2024
    • (2019)Integrated Latch Placement and Cloning for Timing OptimizationACM Transactions on Design Automation of Electronic Systems10.1145/330161324:2(1-17)Online publication date: 9-Feb-2019
    • (2015)BonnPlaceProceedings of the 2015 Symposium on International Symposium on Physical Design10.1145/2717764.2717778(9-16)Online publication date: 29-Mar-2015
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISPD '98: Proceedings of the 1998 international symposium on Physical design
    April 1998
    220 pages
    ISBN:158113021X
    DOI:10.1145/274535
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 April 1998

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    ISPD98
    Sponsor:
    ISPD98: International Symposium on Physical Design
    April 6 - 8, 1998
    California, Monterey, USA

    Acceptance Rates

    Overall Acceptance Rate 62 of 172 submissions, 36%

    Upcoming Conference

    ISPD '25
    International Symposium on Physical Design
    March 16 - 19, 2025
    Austin , TX , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)17
    • Downloads (Last 6 weeks)2

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)AiTO: Simultaneous gate sizing and buffer insertion for timing optimization with GNNs and RLIntegration10.1016/j.vlsi.2024.10221198(102211)Online publication date: Sep-2024
    • (2019)Integrated Latch Placement and Cloning for Timing OptimizationACM Transactions on Design Automation of Electronic Systems10.1145/330161324:2(1-17)Online publication date: 9-Feb-2019
    • (2015)BonnPlaceProceedings of the 2015 Symposium on International Symposium on Physical Design10.1145/2717764.2717778(9-16)Online publication date: 29-Mar-2015
    • (2012)Physically-Driven Logic RestructuringMulti-Objective Optimization in Physical Synthesis of Integrated Circuits10.1007/978-1-4614-1356-1_6(83-103)Online publication date: 8-Aug-2012
    • (2012)Buffer Insertion During Timing-Driven PlacementMulti-Objective Optimization in Physical Synthesis of Integrated Circuits10.1007/978-1-4614-1356-1_3(21-46)Online publication date: 8-Aug-2012
    • (2012)State of the Art in Physical SynthesisMulti-Objective Optimization in Physical Synthesis of Integrated Circuits10.1007/978-1-4614-1356-1_2(11-18)Online publication date: 8-Aug-2012
    • (2010)Comparative analysis of effectiveness of two timing-driven design approachesProceedings of the Second Russia-Taiwan conference on Methods and tools of parallel programming multicomputers10.5555/1927517.1927555(277-282)Online publication date: 16-May-2010
    • (2010)Ultra-fast interconnect driven cell cloning for minimizing critical path delayProceedings of the 19th international symposium on Physical design10.1145/1735023.1735047(75-82)Online publication date: 14-Mar-2010
    • (2010)Comparative Analysis of Effectiveness of Two Timing-Driven Design ApproachesMethods and Tools of Parallel Programming Multicomputers10.1007/978-3-642-14822-4_31(277-282)Online publication date: 2010
    • (2008)PyramidsProceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design10.5555/1509456.1509510(204-211)Online publication date: 10-Nov-2008
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media