Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/296399.296426acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
Article
Free access

Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density

Published: 01 February 1999 Publication History
  • Get Citation Alerts
  • First page of PDF

    References

    [1]
    Altera Inc., Data Book, 1998.
    [2]
    V. Betz and J. Rose, "Cluster-Based Logic Blocks for FPGAs: Area-Efficiency vs. Input Sharing and Size," IEEE Custom Integrated Circuits Conference, Santa Clara, CA, 1997, pp. 551-554.
    [3]
    V. Betz and J. Rose, "VPR: A New Packing, Placement and Routing Tool for FPGA Research" lnt'l Workshop on FPL, 1997, pp. 213-222.
    [4]
    V. Betz and J. Rose, "How Much Logic Should Go in an FPGA Logic Block?," IEEE Design and Test Magazine, Spring 1998, pp. 10-15.
    [5]
    V. Betz, "Architecture and CAD for Speed and Area Optimization of FPGAs;' Ph.D. Dissertation, University of Toronto, 1998.
    [6]
    V. Betz, "VPR and VPack User's Manual (Version 4.17)," May 5, 1998. (Available for download from http : //www. e ec g. toronto, edu/~ vau g hnA,pr/vp r. htrnl ).
    [7]
    V. Betz, J. Rose, A. Marquardt, Architecture and CAD for Deep-Submicron FPGAs, Kluwer Academic Publishers, (expected publication date: February 1999).
    [8]
    S. Brown, R. Francis, J. Rose, and Z. Vranesic, Field- Programmable Gate Arrays, Kluwer Academic Publishers, 1992.
    [9]
    S. Brown and J. Rose, "F'PGA and CPLD Architectures: A Tutorial," IEEE Design & Test of Computers, Summer 1996, pp. 42-57.
    [10]
    J. Cong and Y. Ding, "Flowmap: An Optimal Technology Mapping Algorithm for Delay Optimization in Lookup-Table Based FPGA Designs," IEEE Trans. on CAD, Jan. 1994, pp I- 12.
    [11]
    W.C. Elmore, "The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers;' J. Applied Physics, Vol. 19, January 1948, pp. 55-63.
    [12]
    J. Frankle, "Iterative and Adaptive Slack Allocation for Performance-Driven Layout and FPGA Routing;' DAC, 1992, pp. 536- 542.
    [13]
    D. Galloway, "Implementation of Grayscale Conversion for Video Image Processing on the Transmogrifier-2a," Personal Communication.
    [14]
    I. Hamer, "Implementation of DES on the Transmogrifier-2a," Personal Communication.
    [15]
    R. Hitchcock, G. Smith and D. Cheng, "Timing Analysis of Computer-Hardware" IBM Journal of Research and Development, Jan. 1983, pp. 100 - 105.
    [16]
    P. Leventis, "Using edif2blif Version 1.0" June 30, 1998. (Available for download from hnp:// www. eecg. toronto, edu/-leventi/edif2blif/ edif2blif, html).
    [17]
    Lucent Technologies, FPGA Data Book, 1998
    [18]
    Meta-Software, Hspice User's Manual, 1992.
    [19]
    K. Padalia, "Implementation of Grayscale Conversion for Video Image Processing on the Transmogrifier-2a," Personal Communication.
    [20]
    J. Rose, R. J. Francis, D. Lewis and P. Chow, "Architecture of Programmable Gate Arrays: The Effect of Logic Block Functionality on Area Efficiency," IEEE Journal of Solid State Circuits, Oct. 1990, pp. 1217 - 1225.
    [21]
    J. Rose and S. Brown. "Flexibility of Interconnection Structures for Field-Programmable Gate Arrays," JSSC, March 1991, pp. 277 - 282.
    [22]
    J. Rose, A. El Gamal and A. Sangiovanni-Vincentelli, "Architecture of Field-Programmable Gate Arrays;' Proceedings IEEE, vol. 81, no. 7, July 1993, pp. l 013 - I029.
    [23]
    E.M. Sentovich et al, "SIS: A System for Sequential Circuit Analysis" Tech. Report No. UCB/ERL M92/41, University of California, Berkeley, 1992.
    [24]
    J. Swartz, V. Betz and J. Rose, "A Fast Routability- Driven Router for FPGAs," FPGA, 1998, pp. 140 - 149.
    [25]
    Vantis Corporation, "VF1 Field Programmable Gate Array," Preliminary Data Sheet, 1998.
    [26]
    N. Weste and K Eshraghian, Principles of CMOS VLSI Design; A System Perspective; Second Edition, Addison Wesley, 1993.
    [27]
    Xilinx Inc., The Programmable Logic Data Book, 1994.
    [28]
    Xilinx Inc., "XC5200 Series of FPGAs", Data Book, 1997.
    [29]
    Xilinx Inc., ':Virtex 2.5 V Field Programmable Gate Arrays", Advance Product Data Sheet, 1998.
    [30]
    S. Yang, "Logic Synthesis and Optimization Benchmarks, Version 3.0" Tech. Report, Microelectronics Center of North Carolina, 1991.
    [31]
    A. Ye, "Procedural Texture Mapping on FPGAs", M.A.Sc. Thesis, in Preparation, 1998.

    Cited By

    View all
    • (2024)Evaluating the Impact of Using Multiple-Metal Layers on the Layout Area of Switch Blocks for Tile-Based FPGAs in FinFET 7nmACM Transactions on Reconfigurable Technology and Systems10.1145/363905517:1(1-29)Online publication date: 12-Feb-2024
    • (2024)An effective routability-driven packing algorithm for large-scale heterogeneous FPGAsIntegration10.1016/j.vlsi.2023.10209894(102098)Online publication date: Jan-2024
    • (2024)Physical ImplementationFPGA EDA10.1007/978-981-99-7755-0_10(165-206)Online publication date: 1-Feb-2024
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    FPGA '99: Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
    February 1999
    257 pages
    ISBN:1581130880
    DOI:10.1145/296399
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 February 1999

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    FPGA99
    Sponsor:
    FPGA99: ACM/SIGDA Symposium on Field Programmable Gate Arrays
    February 21 - 23, 1999
    California, Monterey, USA

    Acceptance Rates

    Overall Acceptance Rate 125 of 627 submissions, 20%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)100
    • Downloads (Last 6 weeks)8

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Evaluating the Impact of Using Multiple-Metal Layers on the Layout Area of Switch Blocks for Tile-Based FPGAs in FinFET 7nmACM Transactions on Reconfigurable Technology and Systems10.1145/363905517:1(1-29)Online publication date: 12-Feb-2024
    • (2024)An effective routability-driven packing algorithm for large-scale heterogeneous FPGAsIntegration10.1016/j.vlsi.2023.10209894(102098)Online publication date: Jan-2024
    • (2024)Physical ImplementationFPGA EDA10.1007/978-981-99-7755-0_10(165-206)Online publication date: 1-Feb-2024
    • (2023)Improving Seed-Based FPGA Packing with Indirect Connection for Realization of Neural NetworksElectronics10.3390/electronics1212269112:12(2691)Online publication date: 15-Jun-2023
    • (2023)Towards Machine Learning-Based FPGA Backend Flow: Challenges and OpportunitiesElectronics10.3390/electronics1204093512:4(935)Online publication date: 13-Feb-2023
    • (2023)Titan 2.0: Enabling Open-Source CAD Evaluation with a Modern Architecture Capture2023 33rd International Conference on Field-Programmable Logic and Applications (FPL)10.1109/FPL60245.2023.00016(57-64)Online publication date: 4-Sep-2023
    • (2022)Evaluating the impact of using multiple-metal layers on the layout area of switch blocks for tile-based FPGAs in FinFET 7nm2022 IEEE 30th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)10.1109/FCCM53951.2022.9786176(1-9)Online publication date: 15-May-2022
    • (2021)A-Part: Top-Down Clustering Approach for Mesh of Clusters FPGAIntelligent Systems Design and Applications10.1007/978-3-030-71187-0_39(425-434)Online publication date: 3-Jun-2021
    • (2020)Applying Multiple Level Cell to Non-volatile FPGAsACM Transactions on Embedded Computing Systems10.1145/340088519:4(1-22)Online publication date: 12-Jul-2020
    • (2020)Energy-efficient Real-time Scheduling on MulticoresACM Transactions on Embedded Computing Systems10.1145/339941319:4(1-25)Online publication date: 4-Jul-2020
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media