Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3036669.3036671acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article
Public Access

Clock Tree Construction based on Arrival Time Constraints

Published: 19 March 2017 Publication History

Abstract

There are striking differences between constructing clock trees based on dynamic implied skew constraints and based on static arrival time constraints. Dynamic implied skew constraints allow the full timing margins to be utilized, but the constraints are required to be updated (with high time complexity). In contrast, static arrival time constraints are decoupled and are not required to be updated. Therefore, the constraints can be obtained in constant time, which facilitates the exploration of various tree topologies. On the other hand, arrival time constraints do not allow the full timing margins to be utilized. Consequently, there is a trade-off between topology exploration and timing margin utilization. In this paper, the advantages of static arrival time constraints are leveraged to construct clock trees with useful skew while exploring various tree topologies. Moreover, the constraints are specified and respecified throughout the synthesis process reduce the cost of the constructed clock trees. It is experimentally demonstrated that the proposed approach results in clock trees with 16% lower average capacitive cost compared with clock trees constructed based on dynamic implied skew constraints.

References

[1]
A. Agarwal, D. Blaauw, and V. Zolotov. Statistical timing analysis for intra-die process variations with spatial correlations. ICCAD'03, pages 900--907, 2003.
[2]
C. Albrecht, B. Korte, J. Schietke, and J. Vygen. Maximum mean weight cycle in a digraph and minimizing cycle time of a logic chip. Discrete Applied Math., 123(1--3):103--127, 2002.
[3]
Y.-C. Chang, C.-K. Wang, and H.-M. Chen. On construction low power and robust clock tree via slew budgeting. ISPD '12, pages 129--136, 2012.
[4]
Y. P. Chen and D. F. Wong. An algorithm for zero-skew clock tree routing with buffer insertion. EDTC'96, pages 230--237, 1996.
[5]
J. Cong, A. B. Kahng, C.-K. Koh, and C.-W. A. Tsao. Bounded-skew clock and steiner routing. ACM Trans. Des. Autom. Electron. Syst., 3(3):341--388, July 1998.
[6]
R. Ewetz, S. Janarthanan, and C.-K. Koh. Fast clock skew scheduling based on sparse-graph algorithms. ASP-DAC '14, pages 472--477, 2014.
[7]
R. Ewetz, S. Janarthanan, and C.-K. Koh. Benchmark circuits for clock scheduling and synthesis. https://purr.purdue.edu/publications/1759, 2015.
[8]
R. Ewetz and C.-K. Koh. A useful skew tree framework for inserting large safety margins. ISPD '15, pages 85--92, 2015.
[9]
R. Ewetz and C.-K. Koh. MCMM clock tree optimization based on slack redistribution using a reduced slack graph. ASP-DAC '16, pages 366 -- 371, 2016.
[10]
R. Ewetz, C. Tan, and C.-K. Koh. Construction of latency-bounded clock trees. ISPD '16, 2016.
[11]
J. Fishburn. Clock skew optimization. IEEE Transactions on Computers, pages 945--951, 1990.
[12]
S. Held, B. Korte, J. Massberg, M. Ringe, and J. Vygen. Clock scheduling and clocktree construction for high performance asics. ICCAD'03, pages 232--239, 2003.
[13]
R.-S. Tsay. Exact zero skew. In ICCAD'91, 1991.
[14]
V. Ramachandran. Construction of minimal functional skew clock trees. ISPD'12, pages 119--120, 2012.
[15]
S. Roy, P. M. Mattheakis, L. Masse-Navette, and D. Z. Pan. Clock tree resynthesis for multi-corner multi-mode timing closure. ISPD'14, pages 69--76, 2014.
[16]
C. N. Sze. ISPD 2010 high performance clock synthesis contest: Benchmark suite and results. ISPD'10, pages 143--143, 2010.
[17]
C.-W. A. Tsao and C.-K. Koh. UST/DME: a clock tree router for general skew constraints. TODAES, pages 359--379, 2002.

Cited By

View all
  • (2023)GNN-based Multi-bit Flip-flop Clustering and Post-clustering Design Optimization for Energy-efficient 3D ICsACM Transactions on Design Automation of Electronic Systems10.1145/358857028:5(1-26)Online publication date: 6-Apr-2023
  • (2021)An OCV-Aware Clock Tree Synthesis Methodology2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD)10.1109/ICCAD51958.2021.9643585(1-9)Online publication date: 1-Nov-2021
  • (2018)Clustering of flip-flops for useful-skew clock tree synthesisProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201728(507-512)Online publication date: 22-Jan-2018
  • Show More Cited By

Index Terms

  1. Clock Tree Construction based on Arrival Time Constraints

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISPD '17: Proceedings of the 2017 ACM on International Symposium on Physical Design
    March 2017
    176 pages
    ISBN:9781450346962
    DOI:10.1145/3036669
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 19 March 2017

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. cto
    2. cts
    3. useful skew
    4. variations

    Qualifiers

    • Research-article

    Funding Sources

    Conference

    ISPD '17
    Sponsor:
    ISPD '17: International Symposium on Physical Design
    March 19 - 22, 2017
    Oregon, Portland, USA

    Acceptance Rates

    Overall Acceptance Rate 62 of 172 submissions, 36%

    Upcoming Conference

    ISPD '25
    International Symposium on Physical Design
    March 16 - 19, 2025
    Austin , TX , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)120
    • Downloads (Last 6 weeks)15
    Reflects downloads up to 27 Jan 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)GNN-based Multi-bit Flip-flop Clustering and Post-clustering Design Optimization for Energy-efficient 3D ICsACM Transactions on Design Automation of Electronic Systems10.1145/358857028:5(1-26)Online publication date: 6-Apr-2023
    • (2021)An OCV-Aware Clock Tree Synthesis Methodology2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD)10.1109/ICCAD51958.2021.9643585(1-9)Online publication date: 1-Nov-2021
    • (2018)Clustering of flip-flops for useful-skew clock tree synthesisProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201728(507-512)Online publication date: 22-Jan-2018
    • (2018)Clustering of flip-flops for useful-skew clock tree synthesis2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASPDAC.2018.8297374(507-512)Online publication date: Jan-2018

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Login options

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media