Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3299874.3319454acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Characterizing Approximate Adders and Multipliers Optimized under Different Design Constraints

Published: 13 May 2019 Publication History
  • Get Citation Alerts
  • Abstract

    Taking advantage of the error resilience in many applications as well as the perceptual limitations of humans, numerous approximate arithmetic circuits have been proposed that trade off accuracy for higher speed or lower power in emerging applications that exploit approximate computing. However, characterizing the various approximate designs for a specific application under certain performance constraints becomes a new challenge. In this paper, approximate adders and multipliers are evaluated and compared for a better understanding of their characteristics when the implementations are optimized for performance or power. Although simple truncation can effectively reduce the hardware of an arithmetic circuit, it is shown that some other designs perform better in speed, power and power-delay product. For instance, many approximate adders have a higher performance than a truncated adder. A truncated multiplier is faster but consumes a higher power than most approximate designs for achieving a similar mean error magnitude. The logarithmic multipliers are very fast and power-efficient at a lower accuracy. Approximate multipliers can also be generated by an automated process to be very efficient while ensuring a sufficiently high accuracy.

    References

    [1]
    H. Amrouch, B. Khaleghi, and A. Gerstlauer. 2017. Towards aging-induced approximations. In DAC. 1--6.
    [2]
    M. S. Ansari, B. F. Cockburn, and J. Han. 2019. A hardware-efficient logarithmic multiplier with improved accuracy. In DATE. 1--4.
    [3]
    M. S. Ansari, H. Jiang, B. F. Cockburn, and J. Han. 2018. Low-power approximate multipliers using encoded partial products and approximate compressors. JETCAS, Vol. 8, 3, 404--416.
    [4]
    K. Bhardwaj, P. S. Mane, and J. Henkel. 2014. Power- and area-efficient approximate Wallace tree multiplier for error-resilient systems. In ISQED. 263--269.
    [5]
    V. Camus, M. Cacciotti, J. Schlachter, and C. Enz. 2018. Design of approximate circuits by fabrication of false timing paths: the carry cut-back adder. JETCAS, Vol. 8, 4, 746--757.
    [6]
    Kai D., P. Varman, and K. Mohanram. 2012. High performance reliable variable latency carry select addition. In DATE. 1257--1262.
    [7]
    V. Gupta, D. Mohapatra, A. Raghunathan, and K. Roy. 2013. Low-power digital signal processing using approximate adders. TCAD, Vol. 32, 1, 124--137.
    [8]
    J. Han and M. Orshansky. 2013. Approximate computing: an emerging paradigm for energy-efficient design. In ETS. 1--6.
    [9]
    J. Hu and W. Qian. 2015. A new approximate adder with low relative error and correct sign calculation. In DATE. 1449--1454.
    [10]
    G. B. Huang, Q. Y. Zhu, and C. K. Siew. 2006. Real-time learning capability of neural networks. IEEE Trans. Neural Networks, Vol. 17, 4, 863--878.
    [11]
    H. Jiang, C. Liu, L. Liu, F. Lombardi, and J. Han. 2017. A review, classification, and comparative evaluation of approximate arithmetic circuits. JETC, Vol. 13, 4, 60.
    [12]
    H. Jiang, C. Liu, F. Lombardi, and J. Han. 2019. Low-power approximate unsigned multipliers with configurable error recovery. TCASI, Vol. 66, 1, 189--202.
    [13]
    A. B. Kahng and S. Kang. 2012. Accuracy-configurable adder for approximate arithmetic designs. In DAC. 820--825.
    [14]
    Y. Kim, Y. Zhang, and P. Li. 2013. An energy efficient approximate adder with carry skip for error resilient neuromorphic VLSI systems. In ICCAD. 130--137.
    [15]
    P. Kulkarni, P. Gupta, and M. Ercegovac. 2011. Trading accuracy for power with an underdesigned multiplier architecture. In VLSID. 346--351.
    [16]
    K. Y. Kyaw, W. L. Goh, and K. S. Yeo. 2010. Low-power high-speed multiplier for error-tolerant application. In EDSSC. 1--4.
    [17]
    L. Li and H. Zhou. 2014. On error modeling and analysis of approximate adders. In ICCAD. 511--518.
    [18]
    J. Liang, J. Han, and F. Lombardi. 2013. New metrics for the reliability of approximate and probabilistic adders. TC, Vol. 62, 9, 1760--1771.
    [19]
    C. Lin and I. Lin. 2013. High accuracy approximate multiplier with error correction. In ICCD. 33--38.
    [20]
    I. Lin, Y. Yang, and C. Lin. 2015. High-performance low-power carry speculative addition with variable latency. TVLSI, Vol. 23, 9, 1591--1603.
    [21]
    W. Liu, J. Xu, D. Wang, C. Wang, P. Montuschi, and F. Lombardi. 2018. Design and evaluation of approximate logarithmic multipliers for low power error-tolerant applications. TCASI, Vol. 65, 9, 2856--2868.
    [22]
    S. L. Lu. 2004. Speeding up processing with approximation circuits. Computer, Vol. 37, 3, 67--73.
    [23]
    H. R. Mahdiani, A. Ahmadi, S. M. Fakhraie, and C. Lucas. 2010. Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. TCASI, Vol. 57, 4, 850--862.
    [24]
    J. Miao, K. He, A. Gerstlauer, and M. Orshansky. 2012. Modeling and synthesis of quality-energy optimal approximate adders. In ICCAD. 728--735.
    [25]
    J. N. Mitchell. 1962. Computer multiplication and division using binary logarithms. IRE Trans. Electronic Computers 4, 512--517.
    [26]
    D. Mohapatra, V. K. Chippa, A. Raghunathan, and K. Roy. 2011. Design of voltage-scalable meta-functions for approximate computing. In DATE. 1--6.
    [27]
    A. Momeni, J. Han, P. Montuschi, and F. Lombardi. 2015. Design and analysis of approximate compressors for multiplication. TC, Vol. 64, 4, 984--994.
    [28]
    V. Mrazek, R. Hrbacek, Z. Vasicek, and L. Sekanina. 2017. EvoApprox8b: Library of approximate adders and multipliers for circuit design and benchmarking of approximation methods. In DATE. 258--261.
    [29]
    V. Mrazek, Z. Vasicek, L. Sekanina, H. Jiang, and J. Han. 2018. Scalable construction of approximate multipliers with formally guaranteed worst case error. TVLSI 99, 2572--2576.
    [30]
    S. Narayanamoorthy, H. A. Moghaddam, Z. Liu, T. Park, and N. S. Kim. 2015. Energy-efficient approximate multiplication for digital signal processing and classification applications. TVLSI, Vol. 23, 6, 1180--1184.
    [31]
    S. Venkatachalam and S. B. Ko. 2017. Design of power and area efficient approximate multipliers. TVLSI, Vol. 25, 5, 1782--1786.
    [32]
    A. K. Verma, P. Brisk, and P. Ienne. 2008. Variable latency speculative addition: a new paradigm for arithmetic circuit design. In DATE. 1250--1255.
    [33]
    Z. Yang, A. Jain, J. Liang, J. Han, and F. Lombardi. 2013. Approximate uppercase XOR/XNOR-based adders for inexact computing. In IEEE-NANO. 690--693.
    [34]
    R. Ye, T. Wang, F. Yuan, R. Kumar, and Q. Xu. 2013. On reconfiguration-oriented approximate adder design and its application. In ICCAD. 48--54.
    [35]
    G. Zervakis, K. Tsoumanis, S. Xydis, D. Soudris, and K. Pekmestzi. 2016. Design-efficient approximate multiplication circuits through partial product perforation. TVLSI, Vol. 24, 10, 3105--3117.
    [36]
    N. Zhu, W. L. Goh, and K. S. Yeo. 2009. An enhanced low-power high-speed adder for error-tolerant application. In ISIC 2009. 69--72.

    Cited By

    View all
    • (2023)A Novel Low-Power Compression Scheme for Systolic Array-Based Deep Learning AcceleratorsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319803642:4(1085-1098)Online publication date: 1-Apr-2023
    • (2023)Approximate Computing in Critical Applications: ECG Arrhythmia Classification2023 12th International Conference on Modern Circuits and Systems Technologies (MOCAST)10.1109/MOCAST57943.2023.10176813(1-4)Online publication date: 28-Jun-2023
    • (2023)An Efficient Design and Performance Analysis of Novel 8 Bit Modified Wallace Multiplier Using Sklansky Adder in Comparison with Kogge-Stone Adder (KSA)2023 Eighth International Conference on Science Technology Engineering and Mathematics (ICONSTEM)10.1109/ICONSTEM56934.2023.10142607(1-7)Online publication date: 6-Apr-2023
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    GLSVLSI '19: Proceedings of the 2019 Great Lakes Symposium on VLSI
    May 2019
    562 pages
    ISBN:9781450362528
    DOI:10.1145/3299874
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 13 May 2019

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. adder
    2. approximate computing
    3. multiplier
    4. power
    5. speed

    Qualifiers

    • Research-article

    Funding Sources

    • Natural Sciences and Engineering Research Council of Canada (NSERC)

    Conference

    GLSVLSI '19
    Sponsor:
    GLSVLSI '19: Great Lakes Symposium on VLSI 2019
    May 9 - 11, 2019
    VA, Tysons Corner, USA

    Acceptance Rates

    Overall Acceptance Rate 312 of 1,156 submissions, 27%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)21
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 27 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)A Novel Low-Power Compression Scheme for Systolic Array-Based Deep Learning AcceleratorsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319803642:4(1085-1098)Online publication date: 1-Apr-2023
    • (2023)Approximate Computing in Critical Applications: ECG Arrhythmia Classification2023 12th International Conference on Modern Circuits and Systems Technologies (MOCAST)10.1109/MOCAST57943.2023.10176813(1-4)Online publication date: 28-Jun-2023
    • (2023)An Efficient Design and Performance Analysis of Novel 8 Bit Modified Wallace Multiplier Using Sklansky Adder in Comparison with Kogge-Stone Adder (KSA)2023 Eighth International Conference on Science Technology Engineering and Mathematics (ICONSTEM)10.1109/ICONSTEM56934.2023.10142607(1-7)Online publication date: 6-Apr-2023
    • (2022)Low-Power Approximate Logarithmic Squaring Circuit Design for DSP ApplicationsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2020.298969910:1(500-506)Online publication date: 1-Jan-2022
    • (2022)Characterizing Approximate Adders and Multipliers for Mitigating Aging and Temperature DegradationsIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2022.319392869:11(4558-4571)Online publication date: Nov-2022
    • (2022)Design of Error-Tolerant and Low-Power Approximate Full AdderMicroelectronics, Communication Systems, Machine Learning and Internet of Things10.1007/978-981-19-1906-0_5(53-66)Online publication date: 12-Jul-2022
    • (2021)An Improved Logarithmic Multiplier for Energy-Efficient Neural ComputingIEEE Transactions on Computers10.1109/TC.2020.299211370:4(614-625)Online publication date: 1-Apr-2021
    • (2021)HardCompress: A Novel Hardware-based Low-power Compression Scheme for DNN Accelerators2021 22nd International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED51717.2021.9424301(457-462)Online publication date: 7-Apr-2021
    • (2021)Fast and low‐power leading‐one detectors for energy‐efficient logarithmic computingIET Computers & Digital Techniques10.1049/cdt2.1201915:4(241-250)Online publication date: 22-Mar-2021
    • (2021)On the design of radix-K approximate multiplier using 2D pseudo-booth encodingAEU - International Journal of Electronics and Communications10.1016/j.aeue.2021.153988142(153988)Online publication date: Dec-2021
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media