Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Hardware Security in Spin-based Computing-in-memory: Analysis, Exploits, and Mitigation Techniques

Published: 27 August 2020 Publication History
  • Get Citation Alerts
  • Abstract

    Computing-in-memory (CIM) is proposed to alleviate the processor-memory data transfer bottleneck in traditional von Neumann architectures, and spintronics-based magnetic memory has demonstrated many facilitation in implementing CIM paradigm. Since hardware security has become one of the major concerns in circuit designs, this article, for the first time, investigates spin-based computing-in-memory (SpinCIM) from a security perspective. We focus on two fundamental questions: (1) How can the new SpinCIM computing paradigm be exploited to enhance hardware security?; (2) What security concerns has this new SpinCIM computing paradigm incurred?

    References

    [1]
    Sally A. McKee. 2004. Reflections on the memory wall. In Proceedings of Conference on Computing Frontiers. 162.
    [2]
    Mengxing Wang, Wenlong Cai, Kaihua Cao, Jiaqi Zhou, Jerzy Wrona, Shouzhong Peng, Huaiwen Yang, Jiaqi Wei, Wang Kang, Youguang Zhang et al. 2018. Current-induced magnetization switching in atom-thick tungsten engineered perpendicular magnetic tunnel junctions with large tunnel magnetoresistance. Nature Commun. 9, 1 (2018), 671.
    [3]
    Q. Dong, Z. Wang, J. Lim, Y. Zhang, Y. Shih, Y. Chih, J. Chang, D. Blaauw, and D. Sylvester. 2018. A 1Mb 28nm STT-MRAM with 2.8ns read access time at 1.2V VDD using single-cap offset-cancelled sense amplifier and in-situ self-write-termination. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC’18).
    [4]
    Moritz Lipp, Michael Schwarz, Daniel Gruss, Thomas Prescher, Werner Haas, Anders Fogh, Jann Horn, Stefan Mangard, Paul Kocher, Daniel Genkin et al. 2018. Meltdown: Reading kernel memory from user space. In Proceedings of USENIX Security Symposium (USENIXSecurity’18). 973--990.
    [5]
    You Wang, Hao Cai, Lirida A. B. Naviner, Jacques-Olivier Klein, Jianlei Yang, and Weisheng Zhao. 2016. A novel circuit design of true random number generator using magnetic tunnel junction. In Proceedings of International Symposium on Nanoscale Architectures (NANOARCH’16). 123--128.
    [6]
    Anirudh Iyengar, Swaroop Ghosh, Kenneth Ramclam, Jae-Won Jang, and Cheng-Wei Lin. 2016. Spintronic PUFs for security, trust, and authentication. ACM J. Emerg. Technol. Comput. Syst. 13, 1 (2016), 4.
    [7]
    Elena Ioana Vatajelu, Giorgio Di Natale, and Paolo Prinetto. 2016. Security primitives (PUF and TRNG) with STT-MRAM. In Proceedings of VLSI Test Symposium (VTS’16). 1--4.
    [8]
    Jongyeon Kim, Ayan Paul, Paul A. Crowell, Steven J. Koester, Sachin S. Sapatnekar, Jianping Wang, and Chris H. Kim. 2015. Spin-based computing: Device concepts, current status, and a case study on a high-performance microprocessor. Proc. IEEE 103, 1 (2015), 106--130.
    [9]
    Jianlei Yang, Peiyuan Wang, Yaojun Zhang, Yuanqing Cheng, Weisheng Zhao, Yiran Chen, and Hai Helen Li. 2015. Radiation-induced soft error analysis of STT-MRAM: A device to circuit approach. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 35, 3 (2015), 380--393.
    [10]
    Kaihua Cao, Wenlong Cai, Yizheng Liu, Huisong Li, Jiaqi Wei, Hushan Cui, Xiaobin He, Junjie Li, Chao Zhao, and Weisheng Zhao. 2018. In-memory direct processing based on nanoscale perpendicular magnetic tunnel junctions. Nanoscale 10, 45 (2018), 21225--21230.
    [11]
    Yinglin Zhao, Peng Ouyang, Wang Kang, Shouyi Yin, Youguang Zhang, Shaojun Wei, and Weisheng Zhao. 2019. An STT-MRAM based in memory architecture for low power integral computing. IEEE Trans. Comput. 68, 4 (2019), 617--623.
    [12]
    Yiran Chen and Xiaobin Wang. 2009. Compact modeling and corner analysis of spintronic memristor. In Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures. IEEE, 7--12.
    [13]
    Junwhan Ahn, Sungjoo Yoo, Onur Mutlu, and Kiyoung Choi. 2015. PIM-enabled instructions: A low-overhead, locality-aware processing-in-memory architecture. In Proceedings of the International Symposium on Computer Architecture (ISCA’15). 336--348.
    [14]
    Xiaoxiao Liu, Mengjie Mao, Beiye Liu, Hai Li, Yiran Chen, Boxun Li, Yu Wang, Hao Jiang, Mark Barnell, Qing Wu, et al. 2015. RENO: A high-efficient reconfigurable neuromorphic computing accelerator design. In Proceedings of Design Automation Conference (DAC’15). 1--6.
    [15]
    Shankar Ganesh Ramasubramanian, Rangharajan Venkatesan, Mrigank Sharad, Kaushik Roy, and Anand Raghunathan. 2014. SPINDLE: SPINtronic deep learning engine for large-scale neuromorphic computing. In Proceedings of International Symposium on Low power Electronics and Design (ISLPED’15). 15--20.
    [16]
    Shubham Jain, Ashish Ranjan, Kaushik Roy, and Anand Raghunathan. 2018. Computing in memory with spin-transfer torque magnetic RAM. IEEE Trans. Very Large Scale Integr. Syst. 26, 3 (2018), 470--483.
    [17]
    Zamshed I. Chowdhury, Jonathan D. Harms, S. Karen Khatamifard, Masoud Zabihi, Yang Lv, Andrew Lyle, Sachin S. Sapatnekar, Ulya R. Karpuzcu, and Jianping Wang. 2018. Efficient in-memory processing using spintronics. Comput. Architect. Lett. 17, 1 (2018), 42--46.
    [18]
    Shuangchen Li, Cong Xu, Qiaosha Zou, Jishen Zhao, Yu Lu, and Yuan Xie. 2016. Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories. In Proceedings of Design Automation Conference (DAC’16). 173:1--173:6.
    [19]
    Wang Kang, Haotian Wang, Zhaohao Wang, Youguang Zhang, and Weisheng Zhao. 2017. In-memory processing paradigm for bitwise logic operations in STT--MRAM. IEEE Trans. Magn. 53, 11 (2017), 1--4.
    [20]
    Farhana Parveen, Zhezhi He, Shaahin Angizi, and Deliang Fan. 2018. HielM: Highly flexible in-memory computing using STT MRAM. In Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC’18). 361--366.
    [21]
    Masoud Rostami, Farinaz Koushanfar, and Ramesh Karri. 2014. A primer on hardware security: Models, methods, and metrics. Proc. IEEE 102, 8 (2014), 1283--1295.
    [22]
    Mohammad Tehranipoor and Farinaz Koushanfar. 2010. A survey of hardware trojan taxonomy and detection. IEEE Design Test Comput. 27, 1 (2010).
    [23]
    Mohammad Tehranipoor and Cliff Wang. 2011. Introduction to Hardware Security and Trust. Springer Science 8 Business Media.
    [24]
    Yier Jin. 2015. Introduction to hardware security. Electronics 4, 4 (2015), 763--784.
    [25]
    An Chen, Xiaobo Sharon Hu, Yier Jin, Michael T. Niemier, and Xunzhao Yin. 2016. Using emerging technologies for hardware security beyond PUFs. In Proceedings of Design, Automation and Test in Europe Conference (DATE’16). 1544--1549.
    [26]
    Theodore Winograd, Hassan Salmani, Hamid Mahmoodi, Kris Gaj, and Houman Homayoun. 2016. Hybrid STT-CMOS designs for reverse-engineering prevention. In Proceedings of Design Automation Conference (DAC’16). 88:1--88:6.
    [27]
    Jianlei Yang, Xueyan Wang, Qiang Zhou, Zhaohao Wang, Hai Li, Yiran Chen, and Weisheng Zhao. 2019. Exploiting spin-orbit torque devices as reconfigurable logic for circuit obfuscation. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 38, 1 (2019), 57--69.
    [28]
    Anirudh Iyengar, Swaroop Ghosh, Nitin Rathi, and Helia Naeimi. 2016. Side channel attacks on STTRAM and low-overhead countermeasures. In Proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems. 141--146.
    [29]
    Jeyavijayan Rajendran, Youngok Pino, Ozgur Sinanoglu, and Ramesh Karri. 2012. Security analysis of logic obfuscation. In Proceedings of Design Automation Conference (DAC’12). 83--89.
    [30]
    Jeyavijayan Rajendran, Michael Sam, Ozgur Sinanoglu, and Ramesh Karri. 2013. Security analysis of integrated circuit camouflaging. In Proceedings of ACM SIGSAC Conference on Computer and Communications Security. 709--720.
    [31]
    Muhammad Yasin, Bodhisatwa Mazumdar, Ozgur Sinanoglu, and Jeyavijayan Rajendran. 2016. CamoPerturb: Secure IC camouflaging for minterm protection. In Proceedings of International Conference on Computer-Aided Design (ICCAD’16). 29.
    [32]
    Meng Li, Kaveh Shamsi, Travis Meade, Zheng Zhao, Bei Yu, Yier Jin, and David Z. Pan. 2016. Provably secure camouflaging strategy for IC protection. In Proceedings of International Conference on Computer-Aided Design (ICCAD’16). 28.
    [33]
    Muhammad Basin, Bodhisatwa Mazumdar, Ozgur Sinanoglu, and Jeyavijayan Rajendran. 2017. Security analysis of anti-SAT. In Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC’17). To appear.
    [34]
    Xiaolin Xu, Bicky Shakya, Mark M. Tehranipoor, and Domenic Forte. 2017. Novel bypass attack and BDD-based tradeoff analysis against all known logic locking attacks. In Proceedings of International Conference on Cryptographic Hardware and Embedded Systems. Springer, 189--210.
    [35]
    Muhammad Yasin, Bodhisatwa Mazumdar, Ozgur Sinanoglu, and Jeyavijayan Rajendran. 2020. Removal attacks on logic locking and camouflaging techniques. IEEE Trans. Emerg. Topics Comput. 8, 2 (2020), 517--532.
    [36]
    Kaveh Shamsi, Meng Li, Travis Meade, Zheng Zhao, David Z. Pan, and Yier Jin. 2017. AppSAT: Approximately deobfuscating integrated circuits. In Proceedings of IEEE International Symposium on Hardware Oriented Security and Trust (HOST’17). 95--100.
    [37]
    Yuanqi Shen and Hai Zhou. 2017. Double dip: Re-evaluating security of logic encryption algorithms. In Proceedings of Great Lakes Symposium on VLSI (GLSVLSI’17). 179--184.
    [38]
    Satwik Patnaik, Nikhil Rangarajan, Johann Knechtel, Ozgur Sinanoglu, and Shaloo Rakheja. 2018. Advancing hardware security using polymorphic and stochastic spin-hall effect devices. In Proceedings of Design, Automation and Test in Europe Conference (DATE’18). 97--102.
    [39]
    Nikhil Rangarajan, Satwik Patnaik, Johann Knechtel, Ramesh Karri, Ozgur Sinanoglu, and Shaloo Rakheja. 2020. Opening the doors to dynamic camouflaging: Harnessing the power of polymorphic devices. IEEE Transactions on Emerging Topics in Computing (2020).
    [40]
    Qutaiba Alasad, Jiann Yuan, and Deliang Fan. 2017. Leveraging all-spin logic to improve hardware security. In Proceedings of Great Lakes Symposium on VLSI (GLSVLSI’17). 491--494.
    [41]
    Kevin Hsieh, Eiman Ebrahimi, Gwangsun Kim, Niladrish Chatterjee, Mike O’Connor, Nandita Vijaykumar, Onur Mutlu, and Stephen W. Keckler. 2016. Transparent offloading and mapping (TOM): Enabling programmer-transparent near-data processing in GPU systems. In ACM SIGARCH Computer Architecture News, Vol. 44. 204--216.
    [42]
    Ashutosh Pattnaik, Xulong Tang, Adwait Jog, Onur Kayiran, Asit K. Mishra, Mahmut T. Kandemir, Onur Mutlu, and Chita R. Das. 2016. Scheduling techniques for GPU architectures with processing-in-memory capabilities. In Proceedings of International Conference on Parallel Architectures and Compilation. 31--44.
    [43]
    Paul C. Kocher. 1996. Timing attacks on implementations of diffie-hellman, RSA, DSS, and other systems. In Proceedings of Annual International Cryptology Conference. Springer, 104--113.
    [44]
    YongBin Zhou and DengGuo Feng. 2005. Side-channel attacks: Ten years after its publication and the impacts on cryptographic module security testing. IACR Cryptol. ePrint Arch. 2005 (2005), 388.
    [45]
    Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, Asmit De, and Jae-Won Jang. 2016. Security and privacy threats to on-chip non-volatile memories and countermeasures. In Proceedings of International Conference on Computer-Aided Design (ICCAD’16). 10.
    [46]
    Abhishek Chakraborty, Ankit Mondal, and Ankur Srivastava. 2017. Correlation power analysis attack against STT-MRAM -based cyptosystems. In Proceedings of IEEE International Symposium on Hardware Oriented Security and Trust (HOST’17). 171.
    [47]
    S. Dinesh Kumar and Himanshu Thapliyal. 2017. Security evaluation of MTJ/CMOS circuits against power analysis attacks. In Proceedings of IEEE International Symposium on Nanoelectronic and Information Systems. 117--122.
    [48]
    Patrick Schaumont and Zhimin Chen. 2012. Side-channel attacks and countermeasures for embedded microcontrollers. In Introduction to Hardware Security and Trust. Springer, 263--282.
    [49]
    Y. Lu, T. Zhong, W. Hsu, S. Kim, X. Luet al.2015. Fully functional perpendicular STT-MRAM macro embedded in 40 nm logic for energy-efficient IOT applications. In Proceedings of IEEE International Electron Devices Meeting (IEDM’15). 26--1.
    [50]
    Wang Kang, Liuyang Zhang, Jacques-Olivier Klein, Youguang Zhang, Dafiné Ravelosona, and Weisheng Zhao. 2015. Reconfigurable codesign of STT-MRAM under process variations in deeply scaled technology. IEEE Trans. Electron Devices 62, 6 (2015), 1769--1777.
    [51]
    Aynaz Vatankhahghadim, W. Song, and Ali Sheikholeslami. 2015. A variation-tolerant MRAM-backed-SRAM cell for a nonvolatile dynamically reconfigurable FPGA. IEEE Trans. Circ. Syst. II: Express Briefs 62, 6 (2015), 573--577.
    [52]
    Kangho Lee and Seung H. Kang. 2010. Design consideration of magnetic tunnel junctions for reliable high-temperature operation of STT-MRAM. IEEE Trans. Magn. 46, 6 (2010), 1537--1540.
    [53]
    He Zhang, Wang Kang, Kaihua Cao, Bi Wu, Youguang Zhang, and Weisheng Zhao. 2019. Spintronic processing unit in spin transfer torque magnetic random access memory. IEEE Trans. Electron Devices 66, 4 (2019), 2017--2022.
    [54]
    Kon-Woo Kwon, Xuanyao Fong, Parami Wijesinghe, Priyadarshini Panda, and Kaushik Roy. 2015. High-density and robust STT-MRAM array through device/circuit/architecture interactions. IEEE Trans. Nanotechnol. 14, 6 (2015), 1024--1034.
    [55]
    Brandon Del Bel, Jongyeon Kim, Chris H. Kim, and Sachin S. Sapatnekar. 2014. Improving STT-MRAM density through multibit error correction. In Proceedings of Design, Automation and Test in Europe Conference (DATE’14). 1--6.
    [56]
    Wang Kang, WeiSheng Zhao, Zhaohao Wang, Yue Zhang, Jacques-Olivier Klein, Youguang Zhang, Claude Chappert, and Dafiné Ravelosona. 2013. A low-cost built-in error correction circuit design for STT-MRAM reliability improvement. Microelectron. Reliabil. 53, 9–11 (2013), 1224--1229.
    [57]
    Bi Wu, Yuanqing Cheng, Jianlei Yang, Aida Todri-Sanial, and Weisheng Zhao. 2016. Temperature impact analysis and access reliability enhancement for 1T1MTJ STT-RAM. IEEE Trans. Reliabil. 65, 4 (2016), 1755--1768.

    Cited By

    View all
    • (2024)An RRAM-Based Computing-in-Memory Architecture and Its Application in Accelerating Transformer InferenceIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.334565132:3(485-496)Online publication date: 1-Mar-2024
    • (2023)Polymorphic Hybrid CMOS-MTJ Logic Gates for Hardware Security ApplicationsElectronics10.3390/electronics1204090212:4(902)Online publication date: 10-Feb-2023
    • (2023)Magnetic Tunnel Junction Injection as a Hardware Trojan in an Inverter Chain2023 IEEE 23rd International Conference on Nanotechnology (NANO)10.1109/NANO58406.2023.10231208(803-806)Online publication date: 2-Jul-2023
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Journal on Emerging Technologies in Computing Systems
    ACM Journal on Emerging Technologies in Computing Systems  Volume 16, Issue 4
    Special Issue on Nanoelectronic Device, Circuit, Architecture Design, Part 2 and Regular Papers
    October 2020
    202 pages
    ISSN:1550-4832
    EISSN:1550-4840
    DOI:10.1145/3418801
    • Editor:
    • Ramesh Karri
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 27 August 2020
    Online AM: 07 May 2020
    Accepted: 01 April 2020
    Revised: 01 March 2020
    Received: 01 May 2019
    Published in JETC Volume 16, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Computing-in-memory
    2. hardware security
    3. spintronics technology

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    • National Natural Science Foundation of China
    • National Key Technology Program of China
    • State Key Laboratory of Software Development Environment
    • State Key Laboratory of Computer Architecture

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)44
    • Downloads (Last 6 weeks)4
    Reflects downloads up to 26 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)An RRAM-Based Computing-in-Memory Architecture and Its Application in Accelerating Transformer InferenceIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.334565132:3(485-496)Online publication date: 1-Mar-2024
    • (2023)Polymorphic Hybrid CMOS-MTJ Logic Gates for Hardware Security ApplicationsElectronics10.3390/electronics1204090212:4(902)Online publication date: 10-Feb-2023
    • (2023)Magnetic Tunnel Junction Injection as a Hardware Trojan in an Inverter Chain2023 IEEE 23rd International Conference on Nanotechnology (NANO)10.1109/NANO58406.2023.10231208(803-806)Online publication date: 2-Jul-2023
    • (2023)THE-V: Verifiable Privacy-Preserving Neural Network via Trusted Homomorphic Execution2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323851(1-9)Online publication date: 28-Oct-2023
    • (2022)Accelerating Graph-Connected Component Computation With Emerging Processing-In-Memory ArchitectureIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.316362841:12(5333-5342)Online publication date: Dec-2022

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    HTML Format

    View this article in HTML Format.

    HTML Format

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media