Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3453688.3461495acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

IRONMAN: GNN-assisted Design Space Explo<u>r</u>ati<u>o</u>n in High-Level Sy<u>n</u>thesis via Reinforce<u>m</u>ent Le<u>a</u>r<u>n</u>ing

Published: 22 June 2021 Publication History
  • Get Citation Alerts
  • Abstract

    Despite the great success of High-Level Synthesis (HLS) tools, we observe several unresolved challenges: 1) the high-level abstraction of programming styles in HLS conceals optimization opportunities; 2) existing HLS tools do not provide flexible trade-offs among different objectives and constraints; 3) the actual quality of the resulting RTL designs is hard to predict. To this end, we propose an end-to-end framework, IRONMAN. The primary goal is to enable a flexible and automated design space exploration (DSE), which can provide either optimized solutions under user-specified constraints, or Pareto trade-offs among different objectives (e.g., resource types, area, and latency). IronMan consists of three components: GPP (a highly accurate graph-neural-network-based performance predictor), RLMD (a reinforcement-learning-based DSE engine that explores the optimized resource allocation strategy), and CT (a code transformer that assists RLMD and GPP by extracting data flow graphs from original HLS C/C++). Experimental results show that, 1) GPP achieves high prediction accuracy, reducing prediction errors of HLS tools by 10.9X in resource usage and 5.7X in timing; 2) RLMD obtains optimized or Pareto solutions outperforming genetic algorithm and simulated annealing by 12.7% and 12.9%, respectively; 3) IronMan can find optimized solutions perfectly matching various DSP constraints, with 2.54X fewer DSPs and up to 6X shorter latency than those of HLS tools. IronMan is also up to 400X faster than meta-heuristic techniques and HLS tools.

    Supplemental Material

    MP4 File
    Presentation video of IronMan, GNN-assisted Design Space Exploration in High-Level Synthesis via Reinforcement Learning. Despite the great success in HLS, we observe three unresolved challenges, concealed optimization opportunity, inflexible design space exploration, and inaccurate prediction for irregular logics. To this end, we propose IronMan, an end-to-end framework that can optimize resource allocation strategy under user-specified constraints. IronMan consists of a code transformer that reveals more optimization choices, a reinforcement learning based design space exploration engine that proactively optimizes resource allocation strategy, and a graph neural network based performance predictor that supports both regular and irregular data paths.

    References

    [1]
    Cadance. Accessed: 2021. Cadance Stratus High-Level Synthesis. https://www.cadence.com/en_US/home/tools/digital-design-and-signoff/synthesis/stratus-high-level-synthesis.html.
    [2]
    Jason Cong et al. 2012. Optimizing memory hierarchy allocation with loop transformations for high-level synthesis. In 49th DAC.
    [3]
    Steve Dai et al. 2018. Fast and accurate estimation of quality of results in high-level synthesis with machine learning. In FCCM.
    [4]
    Will Hamilton et al. 2017. Inductive representation learning on large graphs. In NeurIPS.
    [5]
    Yuko Hara et al. 2009. Proposal and quantitative analysis of the CHStone benchmark program suite for practical C-based high-level synthesis. JIP, Vol. 17 (2009), 242--254.
    [6]
    Thomas N Kipf and Max Welling. 2017. Semi-supervised classification with graph convolutional networks. ICLR (2017).
    [7]
    Johannes de Fine Licht et almbox. 2018. Transformations of High-Level Synthesis Codes for High-Performance Computing. arXiv:1805.08288 (2018).
    [8]
    Hosein Mohammadi Makrani et al. 2019. Pyramid: Machine Learning Framework to Estimate the Optimal Timing and Resource Usage of a High-Level Synthesis Design. In 29th FPL.
    [9]
    Louis-Noël Pouchet and Tomofumi Yuki. 2016. PolyBench/C - the Polyhedral Benchmark suite. http://web.cs.ucla.edu/pouchet/software/polybench/.
    [10]
    Brandon Reagen et al. 2014. MachSuite: Benchmarks for Accelerator Design and Customized Architectures. In IISWC.
    [11]
    Franco Scarselli, Marco Gori, Ah Chung Tsoi, Markus Hagenbuchner, and Gabriele Monfardini. 2008. The graph neural network model. IEEE Transactions on Neural Networks, Vol. 20, 1 (2008), 61--80.
    [12]
    Benjamin Carrion Schafer and Zi Wang. 2019. High-level synthesis design space exploration: Past, present and future. IEEE TCAD (2019).
    [13]
    Richard S Sutton and Andrew G Barto. 2018. Reinforcement learning: An introduction. MIT press.
    [14]
    Peter JM Van Laarhoven and Emile HL Aarts. 1987. Simulated annealing. In Simulated annealing: Theory and applications. Springer, 7--15.
    [15]
    Vivado. Accessed: 2021. Vivado Design Suite - HLx Editions. https://www.xilinx.com/products/design-tools/vivado.html.
    [16]
    Darrell Whitley. 1994. A genetic algorithm tutorial. Statistics and computing, Vol. 4, 2 (1994), 65--85.
    [17]
    Nan Wu and Yuan Xie. 2021. A Survey of Machine Learning for Computer Architecture and Systems. arXiv preprint arXiv:2102.07952 (2021).
    [18]
    Xilinx. Accessed: 2021. Xilinx Vivado High-Level Synthesis. https://www.xilinx.com/products/design-tools/vivado/integration/esl-design.html.
    [19]
    Jieru Zhao et al. 2017. COMBA: A comprehensive model-based analysis framework for high level synthesis of real applications. In ICCAD.
    [20]
    Jieru Zhao et al. 2019. Machine learning based routing congestion prediction in fpga high-level synthesis. In DATE.
    [21]
    Guanwen Zhong et al. 2016. Lin-analyzer: a high-level performance analysis tool for FPGA-based accelerators. In 53nd DAC.
    [22]
    Wei Zuo et al. 2013. Improving high level synthesis optimization opportunity through polyhedral transformations. In FPGA.

    Cited By

    View all
    • (2024)FADO: Floorplan-Aware Directive Optimization Based on Synthesis and Analytical Models for High-Level Synthesis Designs on Multi-Die FPGAsACM Transactions on Reconfigurable Technology and Systems10.1145/3653458Online publication date: 20-Mar-2024
    • (2023)Explainable-DSE: An Agile and Explainable Exploration of Efficient HW/SW Codesigns of Deep Learning Accelerators Using Bottleneck AnalysisProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 410.1145/3623278.3624772(87-107)Online publication date: 25-Mar-2023
    • (2023)MapZero: Mapping for Coarse-grained Reconfigurable Architectures with Reinforcement Learning and Monte-Carlo Tree SearchProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589081(1-14)Online publication date: 17-Jun-2023
    • Show More Cited By

    Index Terms

    1. IRONMAN: GNN-assisted Design Space Explo<u>r</u>ati<u>o</u>n in High-Level Sy<u>n</u>thesis via Reinforce<u>m</u>ent Le<u>a</u>r<u>n</u>ing

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        GLSVLSI '21: Proceedings of the 2021 Great Lakes Symposium on VLSI
        June 2021
        504 pages
        ISBN:9781450383936
        DOI:10.1145/3453688
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 22 June 2021

        Permissions

        Request permissions for this article.

        Check for updates

        Badges

        • Honorable Mention

        Author Tags

        1. design space exploration
        2. graph neural network
        3. high-level synthesis
        4. reinforcement learning

        Qualifiers

        • Research-article

        Data Availability

        Presentation video of IronMan, GNN-assisted Design Space Exploration in High-Level Synthesis via Reinforcement Learning. Despite the great success in HLS, we observe three unresolved challenges, concealed optimization opportunity, inflexible design space exploration, and inaccurate prediction for irregular logics. To this end, we propose IronMan, an end-to-end framework that can optimize resource allocation strategy under user-specified constraints. IronMan consists of a code transformer that reveals more optimization choices, a reinforcement learning based design space exploration engine that proactively optimizes resource allocation strategy, and a graph neural network based performance predictor that supports both regular and irregular data paths. https://dl.acm.org/doi/10.1145/3453688.3461495#GLSVLSI21-glsv059.mp4

        Conference

        GLSVLSI '21
        Sponsor:
        GLSVLSI '21: Great Lakes Symposium on VLSI 2021
        June 22 - 25, 2021
        Virtual Event, USA

        Acceptance Rates

        Overall Acceptance Rate 312 of 1,156 submissions, 27%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)205
        • Downloads (Last 6 weeks)11
        Reflects downloads up to 27 Jul 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)FADO: Floorplan-Aware Directive Optimization Based on Synthesis and Analytical Models for High-Level Synthesis Designs on Multi-Die FPGAsACM Transactions on Reconfigurable Technology and Systems10.1145/3653458Online publication date: 20-Mar-2024
        • (2023)Explainable-DSE: An Agile and Explainable Exploration of Efficient HW/SW Codesigns of Deep Learning Accelerators Using Bottleneck AnalysisProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 410.1145/3623278.3624772(87-107)Online publication date: 25-Mar-2023
        • (2023)MapZero: Mapping for Coarse-grained Reconfigurable Architectures with Reinforcement Learning and Monte-Carlo Tree SearchProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589081(1-14)Online publication date: 17-Jun-2023
        • (2023)A Survey and Perspective on Artificial Intelligence for Security-Aware Electronic Design AutomationACM Transactions on Design Automation of Electronic Systems10.1145/356339128:2(1-57)Online publication date: 6-Mar-2023
        • (2023)FADO: Floorplan-Aware Directive Optimization for High-Level Synthesis Designs on Multi-Die FPGAsProceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays10.1145/3543622.3573188(15-25)Online publication date: 12-Feb-2023
        • (2023)IronMan-Pro: Multiobjective Design Space Exploration in HLS via Reinforcement Learning and Graph Neural Network-Based ModelingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.318554042:3(900-913)Online publication date: 1-Mar-2023
        • (2023)Automatic Hardware Accelerators Reconfiguration through LinearUCB Algorithms on a RISC-V Processor2023 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME)10.1109/PRIME58259.2023.10161944(169-172)Online publication date: 18-Jun-2023
        • (2023)AUGER: A Multi-Objective Design Space Exploration Framework for CGRAs2023 International Conference on Field Programmable Technology (ICFPT)10.1109/ICFPT59805.2023.00015(88-95)Online publication date: 12-Dec-2023
        • (2023)LightningSim: Fast and Accurate Trace-Based Simulation for High-Level Synthesis2023 IEEE 31st Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)10.1109/FCCM57271.2023.00010(1-11)Online publication date: May-2023
        • (2023)Chip design with machine learning: a survey from algorithm perspectiveScience China Information Sciences10.1007/s11432-022-3772-866:11Online publication date: 19-Oct-2023
        • Show More Cited By

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media