Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3458817.3476140acmconferencesArticle/Chapter ViewAbstractPublication PagesscConference Proceedingsconference-collections
research-article

SEEC: stochastic escape express channel

Published: 13 November 2021 Publication History

Abstract

Allocating a free buffer before moving to the next router is a fundamental tenet for packet movement in NoCs. Often, to solve head of line blocking and avoid deadlock, NoCs are provisioned with significant buffer resources in the form of virtual channels (VC) which consume area and power. We introduce stochastic escape express channels (SEEC) to enhance performance and avoid deadlock with dramatically fewer buffers than state-of-the-art NoCs. The network interfaces in SEEC periodically send special tokens called seekers to find packets destined for them and upgrade them to use a novel flow control called Free-Flow (FF). FF-packets traverse the network minimally from link to link, bypassing routers (bufferlessly) to the destination. As a result, FF-packets bypass regions of congestion in the NoC without needing more buffers. Furthermore, any deadlock that a FF-packet was originally involved in is guaranteed to break, without requiring turn restrictions or extra VCs. We also present an extension called multi-SEEC (mSEEC) that enables multiple simultaneous non-intersecting FF-packet traversals to enhance throughput further. We implement and evaluate SEEC and mSEEC on a mesh over a range of synthetic workloads and real applications and observe 34--40% reduction in average packet latency for real applications and 10--50% average improvement in throughput for synthetic traffic over the state-of-the-art at 1/6th the area/power budget.

Supplementary Material

MP4 File (Networks - SEEC_ Stochastic Escape Express Channel.mp4.mp4)
Presentation video

References

[1]
. DRAIN: Deadlock Removal for Arbitrary Irregular Networks. https://github.com/noc-deadlock/drain
[2]
. SWAP: Synchronized Weaving of Adjacent Packets for Network Deadlock Prevention. https://github.com/noc-deadlock/swap
[3]
N. Agarwal, T. Krishna, L. Peh, and N. K. Jha. 2009. GARNET: A Detailed On-chip Network Model inside a Full-system Simulator. In ISPASS.
[4]
K. V. Anjan and Timothy Mark Pinkston. 1995. An Efficient, Fully Adaptive Deadlock Recovery Scheme: DISHA. In ISCA.
[5]
Paul Baran. 1964. On distributed communications networks. IEEE transactions on Communications Systems 12, 1 (1964), 1--9.
[6]
Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. 2008. The PARSEC Benchmark Suite: Characterization and Architectural Implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (Toronto, Ontario, Canada) (PACT '08). ACM, New York, NY, USA, 72--81.
[7]
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, and David A. Wood. 2011. The Gem5 Simulator. SIGARCH Comput. Archit. News 39, 2 (Aug. 2011), 1--7.
[8]
Xiao Canwen, Zhang Minxuan, Dou Yong, and Zhao Zhitong. 2008. Dimensional Bubble Flow Control and Fully Adaptive Routing in the 2-D Mesh Network on Chip. In EUC. 353--358.
[9]
Lizhong Chen and Timothy M. Pinkston. 2013. Worm-Bubble Flow Control. In HPCA. 366--377.
[10]
L. Chen, R. Wang, and T. M. Pinkston. 2011. Critical Bubble Scheme: An Efficient Implementation of Globally Aware Network Flow Control. In IPDPS. 592--603.
[11]
W. J. Dallyand H. Aoki. 1993. Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels. IEEE TPDS 4, 4 (April 1993), 466--475.
[12]
W. J. Dally and C. L. Seitz. 1987. Deadlock-Free Message Routing in Multiprocessor Interconnection Networks. IEEE Trans. Comput. (1987), 547--553.
[13]
William J. Dally and Brian Towles. 2001. Route Packets, Not Wires: On-chip Inteconnection Networks. In DAC.
[14]
Jose Duato. 1993. A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks. IEEE Trans. Parallel Distrib. Syst. (1993).
[15]
Jose Duato. 1995. A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks. IEEE Trans. Parallel Distrib. Syst. 6, 10 (Oct. 1995), 1055--1067.
[16]
Natalie Enright Jerger, Li-Shiuan Peh, and Mikko Lipasti. 2008. Circuit-Switched Coherence. In International Symposium on Networks-on-Chip.
[17]
C. Fallin, C. Craik, and O. Mutlu. 2011. CHIPPER: A Low-complexity Bufferless Deflection Router. In HPCA. 144--155.
[18]
Chris Fallin, Greg Nazario, Xiangyao Yu, Kevin Chang, Rachata Ausavarungnirun, and Onur Mutlu. 2012. MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect. In 2012 Sixth IEEE/ACM NOCS. 1--10.
[19]
H. Farrokhbakht, H. Kao, K. Hasan, P. Gratz, T. Krishna, J. San Miguel, and N. Enright Jerger. 2021. Pitstop: Enabling a Virtual Network Free Network on Chip. In Proceedings of the International Symposium on High Performance Computer Architecture.
[20]
Marina Garcia, Enrique Vallejo, Ramon Beivide, Miguel Odriozola, Cristobal Camarero, Mateo Valero, German Rodriguez, Jesus Labarta, and Cyriel Minkenberg. 2012. On-the-Fly Adaptive Routing in High-Radix Hierarchical Networks. In Proceedings of the 2012 41st International Conference on Parallel Processing (ICPP '12). IEEE Computer Society, Washington, DC, USA, 279--288.
[21]
Amit Kumar, Li-Shiuan Peh, and Niraj K Jha. 2008. Token flow control. In Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 342--353.
[22]
Amit Kumar, Li-Shiuan Peh, Partha Kundu, and Niraj K Jha. 2007. Express virtual channels: towards the ideal interconnection fabric. In ISCA.
[23]
Hyoukjun Kwon and Tushar Krishna. 2017. OpenSMART: Single-Cycle Multi-hop NoC Generator in BSV and Chisel. In Proc of the IEEE International Symposium on Performance Analysis of Systems and Software. IEEE.
[24]
Thomas Moscibroda and Onur Mutlu. 2009. A Case for Bufferless Routing in On-chip Networks. In ISCA.
[25]
Vijay Nagarajan, Daniel J Sorin, Mark D Hill, and David A Wood. 2020. A Primer on Memory Consistency and Cache Coherence. Synthesis Lectures on Computer Architecture 15, 1 (2020), 1--294.
[26]
Mayank Parasar. 2020. Subactive Techniques For Guaranteeing Routing And Protocol Deadlock Freedom In Interconnection Networks. Ph.D. Dissertation. Georgia Institute of Technology.
[27]
Mayank Parasar, Natalie Enright Jerger, Paul V. Gratz, Joshua San Miguel, and Tushar Krishna. 2019. SWAP: Synchronized Weaving of Adjacent Packets for Network Deadlock Resolution. In Proceedings of the 52Nd Annual IEEE/ACM International Symposium on Microarchitecture (Columbus, OH, USA) (MICRO '52). ACM, New York, NY, USA, 873--885.
[28]
Mayank Parasar, Hossein Farrokhbakht, Natalie Enright Jerger, Paul V Gratz, Tushar Krishna, and Joshua San Miguel. 2020. DRAIN: Deadlock Removal for Arbitrary Irregular Networks. In 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 447--460.
[29]
Mayank Parasar and Tushar Krishna. 2017. Lightweight Emulation of Virtual Channels using Swaps. In Proceedings of the 10th International Workshop on Network on Chip Architectures. 1--6.
[30]
Mayank Parasar and Tushar Krishna. 2019. Bindu: Deadlock-freedom with one bubble in the network. In Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip. 1--8.
[31]
Mayank Parasar, Ankit Sinha, and Tushar Krishna. 2018. Brownian bubble router: Enabling deadlock freedom via guaranteed forward progress. In 2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS). IEEE, 1--8.
[32]
Timothy Mark Pinkston. 1999. Flexible and efficient routing based on progressive deadlock recovery. IEEE Trans. Comput. 48, 7 (1999), 649--669.
[33]
Timothy Mark Pinkston and Sugath Warnakulasuriya. 1997. On deadlocks in interconnection networks. In Proceedings of the 24th annual international symposium on Computer architecture. 38--49.
[34]
V. Puente, C. Izu, R. Beivide, J.A. Gregorio, F. Vallejo, and J.M. Prellezo. 2001. The Adaptive Bubble Router. J. Parallel Distrib. Comput. 61, 9 (Sept. 2001).
[35]
Aniruddh Ramrakhyani, Paul V Gratz, and Tushar Krishna. 2018. Synchronized progress in interconnection networks (SPIN): A new theory for deadlock freedom. In 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). IEEE, 699--711.
[36]
Aniruddh Ramrakhyani and Tushar Krishna. 2017. Static bubble: A framework for deadlock-free irregular on-chip topologies. In 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 253--264.
[37]
C. L. Seitz, W. C. Athas, C. M. Flaig, A. J. Martin, J. Seizovic, C. S. Steele, and W-K. Su. 1988. The Architecture and Programming of the Ametek Series 2010 Multicomputer. In Proceedings of the Third Conference on Hypercube Concurrent Computers and Applications: Architecture, Software, Computer Systems, and General Issues - Volume 1 (Pasadena, California, USA) (C3P). ACM, New York, NY, USA, 33--37.
[38]
Arjun Singh. 2005. Load-balanced routing in interconnection networks. Ph.D. Dissertation. Stanford University.
[39]
Yong Ho Song and Timothy Mark Pinkston. 2003. A progressive approach to handling message-dependent deadlock in parallel computer systems. IEEE Transactions on Parallel and Distributed Systems 14, 3 (2003), 259--275.
[40]
R. Wang, L. Chen, and T. Pinkston. 2013. Bubble Coloring: Avoiding Routing-and Protocol-induced Deadlocks with Minimal Virtual Channel Requirement. In ICS '13.
[41]
Steven Cameron Woo, Moriyoshi Ohara, Evan Torrie, Jaswinder Pal Singh, and Anoop Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. ACM SIGARCH computer architecture news 23, 2 (1995), 24--36.

Index Terms

  1. SEEC: stochastic escape express channel
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        SC '21: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis
        November 2021
        1493 pages
        ISBN:9781450384421
        DOI:10.1145/3458817
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        In-Cooperation

        • IEEE CS

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 13 November 2021

        Permissions

        Request permissions for this article.

        Check for updates

        Badges

        Qualifiers

        • Research-article

        Conference

        SC '21
        Sponsor:

        Acceptance Rates

        Overall Acceptance Rate 1,516 of 6,373 submissions, 24%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • 0
          Total Citations
        • 289
          Total Downloads
        • Downloads (Last 12 months)42
        • Downloads (Last 6 weeks)2
        Reflects downloads up to 30 Aug 2024

        Other Metrics

        Citations

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media