Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Public Access

A Survey on Silicon Photonics for Deep Learning

Published: 30 June 2021 Publication History

Abstract

Deep learning has led to unprecedented successes in solving some very difficult problems in domains such as computer vision, natural language processing, and general pattern recognition. These achievements are the culmination of decades-long research into better training techniques and deeper neural network models, as well as improvements in hardware platforms that are used to train and execute the deep neural network models. Many application-specific integrated circuit (ASIC) hardware accelerators for deep learning have garnered interest in recent years due to their improved performance and energy-efficiency over conventional CPU and GPU architectures. However, these accelerators are constrained by fundamental bottlenecks due to (1) the slowdown in CMOS scaling, which has limited computational and performance-per-watt capabilities of emerging electronic processors; and (2) the use of metallic interconnects for data movement, which do not scale well and are a major cause of bandwidth, latency, and energy inefficiencies in almost every contemporary processor. Silicon photonics has emerged as a promising CMOS-compatible alternative to realize a new generation of deep learning accelerators that can use light for both communication and computation. This article surveys the landscape of silicon photonics to accelerate deep learning, with a coverage of developments across design abstractions in a bottom-up manner, to convey both the capabilities and limitations of the silicon photonics paradigm in the context of deep learning acceleration.

References

[1]
G. E. Hinton, S. Osindero, and Y.-W. Teh. 2006. A fast learning algorithm for deep belief nets. Neural Comput. 18, 7 (2006), 1527–1554.
[2]
V. K. Kukkala, J. Tunnell, S. Pasricha, and T. Bradley. 2018. Advanced driver-assistance systems: A path toward autonomous vehicles. IEEE Consum. Electron. Mag. 7, 5 (2018), 18–25.
[3]
S. Levine, P. Pastor, A. Krizhevsky, J. Ibarz, and D. Quillen. 2018. Learning hand-eye coordination for robotic grasping with deep learning and large-scale data collection. Int. J. Robot. Res. 37, 4-5 (2018), 421–436.
[4]
F. Monti, F. Frasca, D. Eynard, D. Mannion, and M. M. Bronstein. 2019. Fake news detection on social media using geometric deep learning. arXiv:1902.06673 [cs], Feb. 2019.
[5]
S. Lalmuanawma, J. Hussain, and L. Chhakchhuak. 2020. Applications of machine learning and artificial intelligence for Covid-19 (SARS-coV-2) pandemic: A review. Chaos, Solit. Fract. 110059 (2020).
[6]
K. Kukkala, S. V. Thiruloga, and S. Pasricha. 2020. Indra: Intrusion detection using recurrent autoencoders in automotive embedded systems. arXiv preprint arXiv:2007.08795, 2020.
[7]
J. Gu, G. Neubig, K. Cho, and V. O. Li. 2016. Learning to translate in real-time with neural machine translation. arXiv preprint arXiv:1610.00388, 2016.
[8]
W. S. McCulloch and W. Pitts. 1943. A logical calculus of the ideas imminent in nervous activity. Bull. Math. Biophys. 5, 4 (1943), 115–133.
[9]
F. Rosenblatt. 1957. The Perceptron, a Perceiving and Recognizing Automaton. Report 85-460-1. Cornell Aeronautical Laboratory.
[10]
A. Merolla, J. V. Arthur, R. Alvarez-Icaza, A. S. Cassidy, J. Sawada, F. Akopyan, B. L. Jackson, N. Imam, C. Guo, Y. Nakamura, et al. 2014. A million spiking-neuron integrated circuit with a scalable communication network and interface. Science 345, 6197 (2014), 668–673.
[11]
M. Davies, N. Srinivasa, T.-H. Lin, G. Chinya, Y. Cao, S. H. Choday, G. Dimou, P. Joshi, N. Imam, S. Jain, et al. 2018. Loihi: A neuromorphic manycore processor with on-chip learning. IEEE Micro 38, 1 (2018), 82–99.
[12]
P. Jouppi, C. Young, N. Patil, D. Patterson, G. Agrawal, R. Bajwa, S. Bates, S. Bhatia, N. Boden, A. Borchers, et al. 2017. In-datacenter performance analysis of a tensor processing unit. In ACM/IEEE International Symposium on Computer Architecture (ISCA).
[13]
V. Gokhale, J. Jin, A. Dundar, B. Martini, and E. Culurciello. 2014. A 240 G-ops/s mobile coprocessor for deep neural networks. In CVPR Workshop.
[14]
Z. Du, R. Fasthuber, T. Chen, P. Ienne, L. Li, T. Luo, X. Feng, Y. Chen, and O. Temam. 2015. ShiDianNao: Shifting vision processing closer to the sensor. In ACM/IEEE International Symposium on Computer Architecture (ISCA).
[15]
C. Zhang, P. Li, G. Sun, Y. Guan, B. Xiao, and J. Cong. 2015. Optimizing FPGA-based accelerator design for deep convolutional neural networks. In FPGA.
[16]
Y.-H. Chen, T.-J. Yang, J. Emer, and V. Sze. 2019. Eyeriss v2: A flexible accelerator for emerging deep neural networks on mobile devices. IEEE J. Emerg. Select. Topics Circ. Syst. 9, 2 (2019), 292–308.
[17]
A. Parashar, M. Rhu, A. Mukkara, A. Puglielli, R. Venkatesan, B. Khailany, J. Emer, S. W. Keckler, and W. J. Dally. 2017. SCNN: An accelerator for compressed-sparse convolutional neural networks. In ACM/IEEE International Symposium on Computer Architecture (ISCA).
[18]
S. Markidis, S. W. Der Chien, E. Laure, I. B. Peng, and J. S. Vetter. 2018. Nvidia tensor core programmability, performance & precision. In IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).
[19]
A. Sodani, R. Gramunt, J. Corbal, H.-S. Kim, K. Vinod, S. Chinthamani, S. Hutsell, R. Agarwal, and Y.-C. Liu. 2016. Knights landing: Second-generation Intel Xeon Phi product. IEEE Micro 36, 2 (2016), 34–46.
[20]
A. Shafiee, A. Nag, N. Muralimanohar, R. Balasubramonian, J. P.Strachan, M. Hu, R. S. Williams, and V. Srikumar. 2016. Isaac: A convolutional neural network accelerator with in-situ analog arithmetic in crossbars. ACM SIGARCH Comput. Archit. News 44, 3 (2016), 14–26.
[21]
L. Song, X. Qian, H. Li, and Y. Chen. 2017. PipeLayer: A pipelined ReRAM-based accelerator for deep learning. In IEEE International Symposium on High Performance Computer Architecture (HPCA). 541–552.
[22]
H. Tsai, S. Ambrogio, P. Narayanan, R. M. Shelby, and G. W. Burr. 2018. Recent progress in analog memory-based accelerators for deep learning. J. Phys. D: Appl. Phys. 51, 28 (2018), 283001.
[23]
A. Amravati, S. B. Nasir, S. Thangadurai, I. Yoon, and A. Raychowdhury. 2018. A 55nm time-domain mixed-signal neuromorphic accelerator with stochastic synapses and embedded reinforcement learning for autonomous micro-robots. In IEEE International Solid - State Circuits Conference (ISSCC).
[24]
H. Valavi, P. J. Ramadge, E. Nestler, and N. Verma. 2018. A mixed-signal binarized convolutional-neural-network accelerator integrating dense weight storage and multiplication for reduced data movement. In IEEE Symposium on VLSI Circuits.
[25]
A. Amaravati, S. B. Nasir, J. Ting, I. Yoon, and A. Raychowdhury. 2018. A55-nm, 1.0–0.4 v, 1.25-pj/mac time-domain mixed-signal neuromorphic accelerator with stochastic synapses for reinforcement learning in autonomous mobile robots. IEEE J. Solid-State Circ. 54, 1 (2018), 75–87.
[26]
M. M. Waldrop. 2016. The chips are down for Moore's law. Nature News 530, 7589, 144.
[27]
S. Pasricha and N. Dutt. 2008. On-chip Communication Architectures. Morgan Kauffman.
[28]
S. Pasricha and M. Nikdast. 2020. A survey of silicon photonics for energy efficient manycore computing. IEEE Des. Test 37, 4 (2020), 60–81.
[29]
L. Chrostowski, H. Shoman, M. Hammood, H. Yun, J. Jhoja, E. Luan, S. Lin, A. Mistry, D. Witt, N. A. Jaeger, et al. 2019. Silicon photonic circuit design using rapid prototyping foundry process design kits. IEEE J. Sel. Top. Quantum Electron. 25, 5 (2019), 1–26.
[30]
D. A. Miller. 2017. Silicon photonics: Meshing optics with applications. Nature Photon. 11, 7 (2017), 403–404.
[31]
A. R. Totovi ́c, G. Dabos, N. Passalis, A. Tefas, and N. Pleros. 2020. Femtojoule per mac neuromorphic photonics: An energy and technology roadmap. IEEE J. Sel. Top. Quantum Electron 26, 5 (2020), 1–15.
[32]
Y. Shen, N. C. Harris, S. Skirlo, M. Prabhu, T. Baehr-Jones, M. Hochberg, X. Sun, S. Zhao, H. Larochelle, and D. Englund et al. 2017. Deep learning with coherent nanophotonic circuits. Nature Photon. 11, 7 (2017), 441.
[33]
G. Van der Sande, D. Brunner, and M. C. Soriano. 2017. Advances in photonic reservoir computing. Nanophotonics 6, 3 (2017), 561–576.
[34]
A. Katumba, M. Freiberger, F. Laporte, A. Lugnan, S. Sackesyn, C. Ma, J. Dambre, and P. Bienstman. 2018. Neuromorphic computing based on silicon photonics and reservoir computing. IEEE J. Sel. Top. Quantum Electron 24, 6 (2018), 1–10.
[35]
G. Tanaka, T. Yamane, J. B. Héroux, R. Nakane, N. Kanazawa, S. Takeda, H. Numata, D. Nakano, and A. Hirose. 2019. Recent advances in physical reservoir computing: A review. Neural Netw. 115 (2019), 100–123.
[36]
A. N. Tait, M. A. Nahmias, Y. Tian, B. J. Shastri, and P. R. Prucnal. 2014. Photonic neuromorphic signal processing and computing. Nanophoton. Inf. Phys. (2014).
[37]
Q. Cheng, J. Kwon, M. Glick, M. Bahadori, L. P. Carloni, and K. Bergman. 2020. Silicon photonics codesign for deep learning. Proc. IEEE 108, 8 (2020), 1261–1282.
[38]
T. F. de Lima, H. Peng, A. N. Tait, M. A. Nahmias, H. B. Miller, B. J. Shastri, and P. R. Prucnal. 2019. Machine learning with neuromorphic photonics. IEEE IEEE J. Lightw. Technol. 37, 5 (2019), 1515–1534.
[39]
L. De Marinis, M. Cococcioni, P. Castoldi, and N. Andriolli. 2019. Photonic neural networks: A survey. IEEE Access 7, 175 (2019), 827–175 841.
[40]
A. N. Tait, A. X. Wu, T. F. De Lima, E. Zhou, B. J. Shastri, M. A. Nahmias, and P. R. Prucnal. 2016. Microring weight banks. IEEE J. Sel. Top. Quantum Electron 22, 6 (2016), 312–325.
[41]
F. A. Azevedo, L. R. Carvalho, L. T. Grinberg, J. M. Farfel, R. E. Ferretti, R. E. Leite, W. J. Filho, R. Lent, and S. Herculano-Houzel. 2009. Equal numbers of neuronal and nonneuronal cells make the human brain an isometrically scaled-up primate brain. J. Compar. Neurol. 513, 5 (2009), 532–541.
[42]
T. Takeuchi, A. J. Duszkiewicz, and R. G. Morris. 2014. The synaptic plasticity and memory hypothesis: encoding, storage and persistence. Philos. Trans. Roy. Soc. B: Biol. Sci. 369, 1633 (2014), 20130288
[43]
A. L. Hodgkin and A. F. Huxley. 1952. A quantitative description of membrane current and its application to conduction and excitation in nerve. J. Physiol. Aug. (1952).
[44]
A. Borisyuk. 2015. Morris–Lecar model. In Encyclopedia of Computational Neuroscience. Springer.
[45]
S. Binczak, S. Jacquir, J.-M. Bilbault, V. B. Kazantsev, and V. I. Nekorkin. 2006. Experimental study of electrical Fitzhugh–Nagumo neurons with modified excitability. Neural Netw. 19, 5 (2006), 684–693.
[46]
M. Hayati, M. Nouri, D. Abbott, and S. Haghiri. 2016. Digital multiplierless realization of two-coupled biological Hindmarsh–Rose neuron model. IEEE Trans. Circ. Syst. II: Exp. Briefs 63, 5 (2016), 463–467.
[47]
L. F. Abbott. 1999. Lapique's introduction of the integrate-and-fire model neuron (1907). Brain Res. Bull. 50, 5–6 (1999).
[48]
W. Maass. 1997. Networks of spiking neurons: The third generation of neural network models. Neural Netw. 10, 9 (1997), 1659–1671.
[49]
E. M. Izhikevich. 2003. Simple model of spiking neurons. IEEE Trans. Neural Netw. 14, 6 (2003), 1569–1572.
[50]
C. A. Runyan, E. Piasini, S. Panzeri, and C. D. Harvey. 2017. Distinct timescales of population coding across cortex. Nature 548 (2017), 92–96.
[51]
J. Hines. 2018. Stepping up to summit. Comput. Sci. Eng. 20, 2 (2018), 78–82.
[52]
R. J. Douglas and K. A. C. Martin. 2004. Recurrent neuronal circuits in the neocortex. Current Biol. 17, 13 (2004), 496–500.
[53]
J. Hasler and B. Marr. 2013. Finding a roadmap to achieve large neuromorphic hardware systems. Front. Neurosci. 7 (2013).
[54]
C. Mead. 1990. Neuromorphic electronic systems. Proc. IEEE 78, 10 (1990), 1629–1636.
[55]
D. Tank and J. J. Hopfield. 1986. Simple “neural” optimization networks: An A/D converter, signal decision circuit, and a linear programming circuit. IEEE Trans. Circ. Syst. 33, 5 (1986), 533–541.
[56]
I. Sourikopoulos, S. Hedayat, C. Loyez, F. Danneville, V. Hoel, E. Mercier, and A. Cappy. 2017. A 4-fj/spike artificial neuron in 65 nm CMOS technology. Front. Neurosci. 11 (2017), 123.
[57]
J. Shi, S. D. Ha, Y. Zhou, F. Schoofs, and S. Ramanathan. 2013. A correlated nickelate synaptic transistor. Nature Commun. 4 (2013), 2676.
[58]
W. Xu, S. Y. Min, H. Hwang, and T. W. Lee. 2016. Organic core-sheath nanowire artificial synapses with femtojoule energy consumption. Sci. Advances 2, 6 (2016).
[59]
J. Zhu, Y. Yang, R. Jia, Z. Liang, W. Zhu, Z. U. Rehman, L. Bao, X. Zhang, Y. Cai, L. Song, et al. 2018. Ion gated synaptic transistors based on 2D Van der Waals crystals with tunable diffusive dynamics. Adv. Mater. 30, 21 (2018), 1800195.
[60]
M. Prezioso, F. Merrikh-Bayat, B. Hoskins, G. C. Adam, K. K. Likharev, and D. B. Strukov. 2015. Training and operation of an integrated neuromorphic network based on metal-oxide memristors. Nature 521, 7550 (2015), 61–64.
[61]
S. Park, M. Chu, J. Kim, J. Noh, M. Jeon, B. H. Lee, H. Hwang, B. Lee, and B.-G. Lee. 2015. Electronic system with memristive synapses for pattern recognition. Sci. Rep. 5 (2015), 10123.
[62]
I. Boybat, M. Le Gallo, S. Nandakumar, T. Moraitis, T. Parnell, T. Tuma, B. Rajendran, Y. Leblebici, A. Sebastian, and E. Eleftheriou. 2018. Neuro-morphic computing with multi-memristive synapses. Nature Commun. 9, 1 (2018), 1–12.
[63]
S. Hu, G. Qiao, Y. Liu, L. Rong, Q. Yu, and Y. Liu. 2019. An improved memristor model connecting plastic synapse and nonlinear spiking neuron. J. Phys. D: Appl. Phys. 52, 27 (2019), 275402.
[64]
X. Jin, S. B. Furber, and J. V. Woods. 2008. Efficient modelling of spiking neural networks on a scalable chip multiprocessor. In IEEE International Joint Conference on Neural Networks.
[65]
Introducing a Brain-inspired Computer. Retrieved from https://www.research.ibm.com/articles/brain-chip.shtml.
[66]
Beyond Today's AI. Retrieved from https://www.intel.com/content/www/us/en/research/neuromorphic-computing.html.
[67]
S. Moore. 2019. Intel's neuromorphic system hits 8 million neurons, 100 million coming by 2020. IEEE Spect. 15 (2019).
[68]
D. E. Rumelhart, G. E. Hinton, and R. J. Williams. 1986. Learning representations by back-propagating errors. Nature 323, 6088 (1986), 533–536.
[69]
H. Esmaeilzadeh, A. Sampson, L. Ceze, and D. Burger. 2012. Neural acceleration for general-purpose approximate programs. In IEEE/ACM International Symposium on Microarchitecture.
[70]
NVIDIA Corp. 2020. NVIDIA A100 Tensor Core GPU Architecture. Whitepaper.
[71]
P. Chi, S. Li, C. Xu, T. Zhang, J. Zhao, Y. Liu, Y. Wang, and Y. Xie. 2016. Prime: A novel processing-in-memory architecture for neural network computation in reram-based main memory. ACM SIGARCH Comput. Archit. News 44, 3 (2016), 27–39.
[72]
D. Verstraeten, S. Xavier-de-Souza, B. Schrauwen, J. A. K. Suykens, D. Stroobandt, and J. Vandewalle. 2008. Pattern classification with CNNs as reservoirs. In International Symposium on Nonlinear Theory Applications.
[73]
Y. Paquot, F. Duport, A. Smerieri, J. Dambre, B. Schrauwen, M. Haelterman, and S. Massar. 2012. Optoelectronic reservoir computing. Sci. Rep. 2 (2012), 287.
[74]
R. Martinenghi, S. Rybalko, M. Jacquot, Y. K. Chembo, and L. Larger. 2012. Photonic nonlinear transient computing with multiple-delay wavelength dynamics. Phys. Rev. Lett. 108, 2012.
[75]
L. Larger, M. C. Soriano, D. Brunner, L. Appeltant, J. M. Gutiérrez, L. Pesquera, C. R. Mirasso, and I. Fischer. 2012. Photonic information processing beyond Turing: An optoelectronic implementation of reservoir computing. Opt. Exp. 20, 3 (2012), 3241–3249.
[76]
F. Duport, B. Schneider, A. Smerieri, M. Haelterman, and S. Massar. 2012. All optical reservoir computing. Opt. Exp. 20, 20 (2012), 22783–22795.
[77]
D. Brunner, M. C. Soriano, C. R. Mirasso, and I. Fischer. 2013. Parallel photonic information processing at gigabyte per second data rates using transient states. Nature Commun. 4 (2013), 1364.
[78]
K. Hicke, M. A. Escalona-Morán, D. Brunner, M. C. Soriano, I. Fischer, and C. R. Mirasso. 2013. Information processing using transient dynamics of semiconductor lasers subject to delayed feedback. IEEE J. Sel. Top. Quantum Electron. 19, 4 (2013), 1 501 610–1 501 610.
[79]
M. C. Soriano, S. Ortín, D. Brunner, L. Larger, C. R. Mirasso, I. Fischer, and L. Pesquera. 2013. Optoelectronic reservoir computing: Tackling noise-induced performance degradation. Opt. Exp. 21, 1 (2013), 12–20.
[80]
S. Ortin, M. C. Soriano, L. Pesquera, D. Brunner, D. San-Martin, I. Fischer, C. Mirasso, and J. Gutierrez. 2015. A unified framework for reservoir computing and extreme learning machines based on a single time-delayed neuron. Sci. Rep. 5 (2015), 14945.
[81]
F. Duport, A. Smerieri, A. Akrout, M. Haelterman, and S. Massar. 2016. Fully analogue photonic reservoir computer. Sci. Rep. 6 (2016).
[82]
M. Nikdast, G. Nicolescu, J. Trajkovic, and O. Liboiron-Ladouceur. 2018. Deeper: Enhancing performance and reliability in chip-scale optical interconnection networks. In ACM Great Lakes Symposium on VLSI (GLSVLSI).
[83]
A. N. Tait et al. 2019. Silicon photonic modulator neuron. Phys. Rev. Appl. 11, 6 (2019), 064043.
[84]
P. R. Prucnal, B. J. Shastri, T. F. de Lima, M. A. Nahmias, and A. N. Tait. 2016. Recent progress in semiconductor excitable lasers for photonic spike processing. Adv. Opt. Photon. 8, 2 (2016), 228–299.
[85]
T. F. de Lima et al. 2020. Noise analysis of photonic modulator neurons. IEEE J. Sel. Top. Quantum Electron. 26, 1 (2020), 1–9.
[86]
D. Liang and J. E. Bowers. 2010. Recent progress in lasers on silicon. Nature Photon. 4, 8 (2010), 8.
[87]
N. H. Zhu et al. 2017. Directly modulated semiconductor lasers. IEEE J. Sel. Top. Quantum Electron. 24, 1 (2017), 1–19.
[88]
D. Vantrease et al. 2008. Corona: System implications of emerging nanophotonic technology. ACM SIGARCH Comput. Archit. News 36, 3 (2008), 153–164.
[89]
A. Mirza, S. M. Avari, E. Taheri, S. Pasricha, and M. Nikdast. 2020. Opportunities for cross-layer design in high-performance computing systems with integrated silicon photonic networks. In IEEE/ACM Design, Automation Test in Europe Conference Exhibition (DATE).
[90]
A. N. Tait, M. A. Nahmias, B. J. Shastri, and P. R. Prucnal. 2014. Broadcast and weight: An integrated network for scalable photonic spike processing. IEEE J. Lightw. Technol. 32, 21 (2014), 4029–4041.
[91]
S. Xiang, Y. Zhang, X. Guo, A. Wen, and Y. Hao. 2018. Photonic generation of neuron-like dynamics using VCSELs subject to double polarized optical injection. IEEE J. Lightw. Technol. 36, 19 (2018), 4227–4234.
[92]
Z. W. Song, S. Y. Xiang, Z. X. Ren, S. H. Wang, A. J. Wen, and Y. Hao. 2020. Photonic spiking neural network based on excitable VCSELs-SA for sound azimuth detection. Opt. Exp. 28, 2 (2020), 1561–1573.
[93]
I. Aldaya, C. Gosset, C. Wang, G. Campuzano, F. Grillot, and G. Castanon. 2015. Periodic and aperiodic pulse generation using optically injected DFB laser. Electron. Lett. 51, 3 (2015), 280–282.
[94]
G. Sarantoglou, M. Skontranis, and C. Mesaritakis. 2019. All optical integrate and fire neuromorphic node based on single section quantum dot laser. IEEE J. Sel. Top. Quantum Electron 26, 5 (2019), 1–10.
[95]
F. Koyama. 2006. Recent advances of VCSEL photonics. IEEE J. Lightw. Technol. 24, 12 (2006), 4502–4513.
[96]
J. Van Campenhout et al. 2007. Electrically pumped InP-based microdisk lasers integrated with a nanophotonic silicon-on-insulator waveguide circuit. Opt. Exp. 15 (2007).
[97]
J. Robertson, E. Wade, and A. Hurtado. 2019. Electrically controlled neuron-like spiking regimes in vertical-cavity surface-emitting lasers at ultrafast rates. IEEE J. Sel. Top. Quantum Electron 25, 6 (2019), 1–7.
[98]
J. Robertson, M. Hejda, J. Bueno, and A. Hurtado. 2020. Ultrafast optical integration and pattern classification for neuromorphic photonics based on spiking VCSEL neurons. Sci. Rep. 10, 1 (2020), 1–8.
[99]
A. Hurtado, K. Schires, I. Henning, and M. Adams. 2012. Investigation of vertical cavity surface emitting laser dynamics for neuromorphic photonic systems. Appl. Phys. Lett. 100, 10 (2012), 103703.
[100]
A. Levi. 1994. Microdisk lasers. Solid-state Electron. 37, 4-6 (1994), 1297–1302.
[101]
L. Mahler, A. Tredicucci, F. Beltram, C. Walther, J. Faist, B. Witzigmann, H. E. Beere, and D. A. Ritchie. 2009. Vertically emitting microdisk lasers. Nature Photon. 3, 1 (2009), 46–49.
[102]
Yisu Yang, Gligor Djogo, Moez Haque, Peter R. Herman, and Joyce K. S. Poon. 2017. Integration of an O-band VCSEL on silicon photonics with polarization maintenance and waveguide coupling. Opt. Exp. 25 (2017).
[103]
K. Alexander, T. Van Vaerenbergh, M. Fiers, P. Mechet, J. Dambre, and P. Bienstman. 2013. Excitability in optically injected microdisk lasers with phase controlled excitatory and inhibitory response. Opt. Exp. 21, 22 (2013), 26182–26191.
[104]
M. Tran, D. Huang, T. Komljenovic, J. Peters, A. Malik, and J. Bowers. 2018. Ultra-low-loss silicon waveguides for heterogeneously integrated silicon/III-V photonics. Appl. Sci. 8, 7 (2018), 1139–2018.
[105]
S. Nambiar, S. Purnima, and S. K. Selvaraja. 2018. Grating-assisted fiber to chip coupling for SOI photonic circuits. Appl. Sci. 8, 2018.
[106]
D. F. Siriani and K. D. Choquette. 2012. Coherent coupling of vertical-cavity surface-emitting laser arrays. Semicond. Semimet. 86 (2012), 226–264.
[107]
S. Maktoobi et al. 2020. Diffractive coupling for photonic networks: How big can we go?. IEEE J. Sel. Top. Quantum Electron. 26, 1 (2020), 1–8.
[108]
X. Wu et al. 2014. UNION: A unified inter/intrachip optical network for chip multiprocessors. IEEE Trans. Very Large Scale Integr. VLSI Syst. 22, 5 (2014), 1082–1095.
[109]
H. Shabani, A. Roohi, A. Reza, M. Reshadi, N. Bagherzadeh, and R. F. DeMara. 2016. Loss-aware switch design and non-blocking detection algorithm for intra-chip scale photonic interconnection networks. IEEE Trans. Comput. 65, 6 (2016), 1789–1801.
[110]
A. N. Tait, T. F. de Lima, M. A. Nahmias, B. J. Shastri, and P. R. Prucnal. 2018. Microring weight banks for neuromorphic silicon photonics. In Conference on Lasers and Electro-Optics (CLEO).
[111]
A. N. Tait, T. F. de Lima, M. A. Nahmias, B. J. Shastri, and P. R. Prucnal. 2016. Multi-channel control for microring weight banks. Opt. Exp. 24, 8 (2016), 8895–8906.
[112]
T. V. Vaerenbergh et al. 2012. Cascadable excitability in microrings. Opt. Exp. 20, 18 (2012), 20292–20308
[113]
J. Xiang, A. Torchy, X. Guo, and Y. Su. 2020. All-optical spiking neuron based on passive microresonator. IEEE J. Lightw. Technol. 38, 15 (2020), 4019–4029.
[114]
Z. Ying et al. 2018. Comparison of microrings and microdisks for high-speed optical modulation in silicon photonics. Appl. Phys. Lett. 112, 11 (2018), 111108.
[115]
Z. Yu, J. Zheng, P. Xu, W. Zhang, and Y. Wu. 2018. Ultracompact electro-optical modulator-based ge2Sb2Te5 on silicon. IEEE Photon. Technol. Lett. 30, 3 (2018), 250–253.
[116]
P. Xu, J. Zheng, J. Doylend, and A. Majumdar. 2019. Non-volatile integrated-silicon-photonic switches using phase-change materials. In Asia Communications and Photonics Conference (ACP).
[117]
N. Dhingra, J. Song, G. J. Saxena, E. K. Sharma, and B. M. A. Rahman. 2019. Design of a compact low-loss phase shifter based on optical phase change material. IEEE Photon. Technol. Lett. 31, 21 (2019), 1757–1760.
[118]
Z. Cheng, C. Ríos, W. H. P. Pernice, C. D. Wright, and H. Bhaskaran. 2017. On-chip photonic synapse. Sci. Adv. 3, 9 (2017), e1700160.
[119]
C. D. Wright, Y. Liu, K. I. Kohary, M. M. Aziz, and R. J. Hicken. 2011. Arithmetic and biologically-inspired computing using phase-change materials. Adv. Mater. 23, 30 (2011), 3408–3413.
[120]
J. Feldmann, N. Youngblood, C. D. Wright, H. Bhaskaran, and W. H. P. Pernice. 2019. All-optical spiking neurosynaptic networks with self-learning capabilities. Nature 569 (2019).
[121]
S. Kim et al. 2015. NVM neuromorphic core with 64k-cell (256-by-256) phase change memory synaptic array with on-chip neuron circuits for continuous in-situ learning. In IEEE International Electron Devices Meeting (IEDM).
[122]
M. P. Fok, Y. Tian, D. Rosenbluth, and P. R. Prucnal. 2013. Pulse lead/lag timing detection for adaptive feedback and control based on optical spike-timing-dependent plasticity. Opt. Lett. 38, 4 (2013), 419–421.
[123]
R. Toole et al. 2016. Photonic implementation of spike-timing-dependent plasticity and learning algorithms of biological neural systems. IEEE J. Lightw. Technol. 34, 2 (2016), 470–476.
[124]
F. Marino and S. Balle. 2004. Experimental study of a broad area vertical-cavity semiconductor optical amplifier. Opt. Commun. 231, 1 (2004), 325–330.
[125]
S. Xiang et al. 2018. Numerical implementation of wavelength-dependent photonic spike timing dependent plasticity based on VCSOA. IEEE J. Quantum Electron. 54, 6 (2018), 1–7.
[126]
S. R. Restaino. 2015. Introduction to liquid crystals for optical design and engineering. Society of Photo-Optical Instrumentation Engineers (SPIE), (2015).
[127]
R. Bruck et al. 2016. All-optical spatial light modulator for reconfigurable silicon photonic circuits. Optica 3, 4 (2016), 396–402.
[128]
A. Lugnan et al. 2020. Photonic neuromorphic information processing and reservoir computing. APL Photon. 5, 2 (2020), 020901.
[129]
A. Lugnan, J. Dambre, and P. Bienstman. 2017. Integrated pillar scatterers for speeding up classification of cell holograms. Opt. Exp. 25, 24 (2017), 30526–30538.
[130]
P. Li et al. 2016. All-optical analog comparator. Nature Commun. 6, 1 (2016).
[131]
Aikawa Yohei. 2019. Ultracompact optical comparator for 4-bit QPSK-modulated signal based on silicon photonic waveguide. IEEE Photon. J. 11, 3 (2019), 1–10.
[132]
D. Dang, J. Dass, R. Mahapatra. 2017. ConvLight: A convolutional accelerator with memristor integrated photonic computing. In IEEE International Conference on High Performance Computing (HiPC).
[133]
M. B. On, H. Lu, H. Chen, R. Proietti and S. J. Ben Yoo. 2020. Wavelength-space domain high-throughput artificial neural networks by parallel photoelectric matrix multiplier. In Optical Fiber Communications Conference and Exhibition (OFC).
[134]
J. R. Ong, C. C. Ooi, T. Y. L. Ang, S. T. Lim, and C. E. Png. 2020. Photonic convolutional neural networks using integrated diffractive optics. IEEE J. Sel. Top. Quantum Electron 26, 5 (2020), 1–8.
[135]
S. Y. Xiang et al. 2017. Cascadable neuron-like spiking dynamics in coupled VCSELs subject to orthogonally polarized optical pulse injection. IEEE J. Sel. Top. Quantum Electron. 23, 6 (2017), 1–7.
[136]
Z. Zhang, Z. Wu, D. Lu, G. Xia, and T. Deng. 2019. Controllable spiking dynamics in cascaded VCSEL-SA photonic neurons. Nonlin. Dyn. 99, 2 (2019), 1103–1114.
[137]
X. Zhuge, J. Wang, and F. Zhuge. 2019. Photonic synapses for ultrahigh-speed neuromorphic computing. Phys. Status Solid. RRL – Rapid Res. Lett. 13, 9 (2019), 1900082.
[138]
U. H. Lodish et al. 2008. Molecular Cell Biology. Macmillan.
[139]
J. Robertson, T. Deng, J. Javaloyes, and A. Hurtado. 2017. Controlled inhibition of spiking dynamics in VCSELs for neuromorphic photonics: Theory and experiments. Opt. Lett. 42, 8 (2017), 1560–1563.
[140]
A. N. Tait, J. Chang, B. J. Shastri, M. A. Nahmias, and P. R. Prucnal. 2015. Demonstration of WDM weighted addition for principal component analysis. Opt. Exp. 23, 10 (2015), 12758–12765.
[141]
G. M. Alexandris et al. 2020. Neuromorphic photonics with coherent linear neurons using dual-IQ modulation cells. IEEE J. Lightw. Technol. 38, 4 (2020), 811–819.
[142]
F. Selmi, R. Braive, G. Beaudoin, I. Sagnes, R. Kuszelewicz, and S. Barbay. 2015. Temporal summation in a neuromimetric micropillar laser. Opt. Lett. 40, 23 (2015), 5690–5693.
[143]
G. M. Alexandris et al. 2020. All-Optical WDM recurrent neural networks with gating. IEEE J. Sel. Top. Quantum Electron 26, 5 (2020), 1–7.
[144]
G. M. Alexandris et al. 2020. Neuromorphic photonics with coherent linear neurons using dual-IQ modulation cells. IEEE J. Lightw. Technol. 38, 4 (2020), 811–819.
[145]
J. Robertson, E. Wade, Y. Kopp, J. Bueno, and A. Hurtado. 2020. Toward neuromorphic photonic networks of ultrafast spiking laser neurons. IEEE J. Sel. Top. Quantum Electron 26, 1 (2020), 1–15.
[146]
A. Hurtado and J. Javaloyes. 2015. Controllable spiking patterns in long-wavelength vertical cavity surface emitting lasers for neuromorphic photonics systems. Appl. Phys. Lett. 107, 24 (2015).
[147]
T. Deng, J. Robertson, and A. Hurtado. 2017. Controlled propagation of spiking dynamics in vertical-cavity surface-emitting lasers: Towards neuromorphic photonic networks. IEEE J. Sel. Top. Quantum Electron 23, 6 (2017), 1–8.
[148]
A. Hurtado, I. D. Henning, and M. J. Adams. 2010. Optical neuron using polarisation switching in a 1550nm-VCSEL. Opt. Exp. 18, 24 (2010), 25170–25176.
[149]
T. Deng et al. 2018. Stable propagation of inhibited spiking dynamics in vertical-cavity surface-emitting lasers for neuromorphic photonic networks. IEEE Access 6 (2018), 67951–67958.
[150]
C. Mesaritakis, M. Skontranis, G. Sarantoglou, and A. Bogris. 2020. Micro-ring-resonator based passive photonic spike-time-dependent-plasticity scheme for unsupervised learning in optical neural networks. In Optical Fiber Communications Conference and Exhibition (OFC).
[151]
B. J. Shastri, A. N. Tait, T. F. de Lima, M. A. Nahmias, H.-T. Peng, and P. R. Prucnal. 2018. Principles of neuromorphic photonics. ArXiv180100016 Phys., 2018.
[152]
Y. Zhao, D. Lombardo, J. Mathews, and I. Agha. 2016. Low control-power wavelength conversion on a silicon chip. Opt. Lett. 41, 15 (2016), 3651–3654.
[153]
B. J. Shastri et al. 2021. Photonics for artificial intelligence and neuromorphic computing. Nature Photon. 15, 2 (2021), 102–114.
[154]
E. Alexandros et al. 2020. Opto-electronic memristors: Prospects and challenges in neuromorphic computing. Appl. Phys. Lett. 117, 23 (2020).
[155]
E. Goi et al. 2020. Perspective on photonic memristive neuromorphic computing. PhotoniX 1, 1 (2020).
[156]
J. J. Yang, D. B. Strukov, and D. R. Stewart. 2013. Memristive devices for computing. Nature Nanotechnol. 8, 1 (2013), 13–24.
[157]
D. Binu and B. S. Kariyappa. 2017. A survey on fault diagnosis of analog circuits: Taxonomy and state of the art. AEU-International J. Electron. Commun. 73 (2017), 68–83.
[158]
S. Banerjee, M. Nikdast, and K. Chakrabarty. 2021. Modeling silicon-photonic neural networks under uncertainties. In IEEE/ACM Design, Automation and Test in Europe (DATE) Conference and Exhibition.
[159]
A. N. Tait et al. 1963. Neuromorphic photonic networks using silicon photonic weight banks. Sci. Rep. 7, 1 (2017).
[160]
E. N. Lorenz. 1963. Deterministic nonperiodic flow. J. Atmos. Sci. 20, 2 (1963).
[161]
A. Mehrabian, Y. Al-Kabani, V. J. Sorger, and T. El-Ghazawi. 2018. PCNNA: A photonic convolutional neural network accelerator. In IEEE International System-on-Chip Conference (SOCC).
[162]
V. Bangari et al. 2020. Digital electronics and analog photonics for convolutional neural networks (DEAP-CNNs). IEEE J. Sel. Top. Quantum Electron 26, 1 (2020).
[163]
Y. LeCun, L. Bottou, Y. Bengio, and P. Haffner. 1998. Gradient-based learning applied to document recognition. Proc. IEEE 86, 11 (1998), 2278–2324.
[164]
C. Zhang, Zhenman Fang, Peipei Zhou, Peichen Pan, and Jason Cong. 2016. Caffeine: Towards uniformed representation and acceleration for deep convolutional neural networks. In IEEE International Conference on Computer-Aided Design, 2016.
[165]
F. Sunny, A. Mirza, M. Nikdast, and S. Pasricha. 2021. CrossLight: A cross-layer optimized silicon photonic neural network accelerator. In IEEE/ACM Design Automation Conference (DAC).
[166]
S. Xu, J. Wang, and W. Zou. 2021. Optical convolutional neural network with WDM-based optical patching and microring weighting banks. IEEE Photon. Technol. Lett. 33, 2 (2021).
[167]
A. Mehrabin et al. 2020. A Winograd-based integrated photonics accelerator for convolutional neural networks. IEEE J. Sel. Top. Quantum Electron. 26, 1 (2020).
[168]
W. Liu, W. Liu, Y. Ye, Q. Lou, Y. Xie, and L. Jiang. 2019. HolyLight: A nanophotonic accelerator for deep learning in data centers. In IEEE/ACM Design, Automation Test in Europe Conference Exhibition (DATE).
[169]
F. Zokae et al. 2020. LightBulb: A photonic-nonvolatile-memory-based accelerator for binarized convolutional neural networks. In IEEE/ACM Design, Automation Test in Europe Conference Exhibition (DATE).
[170]
M. L. Lalieu, R. Lavrijsen, and B. Koopmans. 2019. Integrating all-optical switching with spintronics. Nature Commun. 10, 1 (2019), 110.
[171]
K. Shiflett, D. Wright, A. Karanth, and A. Louri. 2020. PIXEL: Photonic neural network accelerator. In IEEE International Symposium on High Performance Computer Architecture.
[172]
I. Chakraborty, G. Saha, A. Sengupta and K. Roy. 2018. Toward fast neural computing using all-photonic phase change spiking neurons. Nature, 8, 1 (2018).
[173]
M. Wuttig, N. Yamada. 2007. Phase-change materials for rewriteable data storage. Nature Mater. 6, (2007), 824–832.
[174]
S. R. Ovshinsky. 1968. Reversible electrical switching phenomena in disordered structures. Phys. Rev. Lett 21 (1968), 1450–1453.
[175]
W. H. P. Pernice and H. Bhaskaran. 2012. Photonic non-volatile memories using phase change materials. Appl. Phys. Lett. 101 (2012), 171101.
[176]
C. Rios, P. Hosseini, C. D. Wright, H. Bhaskaran, and W. H. P. Pernice. 2014. On-chip photonic memory elements employing phase-change materials. Adv. Mater. 26 (2014), 1372–1377.
[177]
T. Van Vaerenbergh, M. Fiers, P. Bienstman, and J. Dambre. 2013. Towards integrated optical spiking neural networks: Delaying spikes on chip. In 6th “Rio De La Plata” Workshop on Laser Dynamics and Nonlinear Photonics.
[178]
C. Mesaritakis, V. Papataxiarhis, and D. Syvridis. 2013. Micro ring resonators as building blocks for an all-optical high-speed reservoir-computing bit-pattern-recognition system. J. Opt. Soc. Amer. B 30, 11 (2013), 3048–3055.
[179]
F. D. Coarer et al. 2018. All-optical reservoir computing on a photonic chip using silicon-based ring resonators. IEEE J. Sel. Top. Quantum Electron 24, 6 (2018).
[180]
S. S. Vatsavai and I. Thakkar. 2021. Silicon photonic microring based chip-scale accelerator for delayed feedback reservoir computing. In IEEE International Conference on VLSI Design.
[181]
H. Jaeger. 2003. Adaptive nonlinear system identification with echo state networks. In International Conference on Advances in Neural Information Processing Systems. 593–600.
[182]
H. Jaeger and H. Haas. 2004. Harnessing nonlinearity: Predicting chaotic systems and saving energy in wireless communication. Science 304 (2004), 78–80.
[183]
F. Duport, A. Smerieri, A. Akrout, M. Haelterman, and S. Massar. 2016. Fully analogue photonic reservoir computer. Sci. Rep. 6 (2016), 22381.
[184]
L. Appeltant et al. 2011. Information processing using a single dynamical node as complex system. Nature Commun. 2, 468 (2011).
[185]
K. Vandoorne et al. 2014. Experimental demonstration of reservoir computing on a silicon photonics chip. Nature. 5, 1 (2014).
[186]
C. Mesaritakis, M. Skontranis, G. Sarantoglou, and A. Bogris. 2020. Micro-ring-resonator based passive photonic spike-timedependent-plasticity scheme for unsupervised learning in optical neural networks. In Optical Fiber Communications Conference and Exhibition (OFC).
[187]
M. Reck, A. Zeilinger, H. J. Bernstein, and P. Bertani. 2002. Experimental realization of any discrete unitary operator. Phys. Rev. Lett. 73, 1 (2002), 58–61.
[188]
F. Shokraneh, S. Geoffroy-Gagnon, M. S. Nezami, and O. Liboiron-Ladouceur. 2019. A single layer neural network implemented by a 4 × 4 MZI-based optical processor. IEEE Photon. J. 11, 6 (2019), 1–12.
[189]
D. A. B. Miller. 2013. Self-configuring universal linear optical component. Photon. Res. 1, 1 (2013).
[190]
W. R. Clements, P. C. Humphreys, B. J. Metcalf, W. S. Kolthammer, and I. A. Walsmley. 2016. Optimal design for universal multiport interferometers. Optica 3, 12 (2016), 1460–1465.
[191]
J. Gu et al. 2020. Towards area-efficient optical neural networks: An FFT-based architecture. In ACM Asia and South Pacific Design Automation Conference.
[192]
Z. Li, S. Wang, C. Ding, et al. 2018. Efficient recurrent neural networks using structured matrices in FPGAs. In ICLR Workshop.
[193]
E. Cottle, F. Michel, J. Wilson, N. New, and I. Kundu. Optical convolutional neural networks—combining silicon photonics and fourier optics for computer vision. arXiv preprint arXiv:2103.09044 (2020).
[194]
“The Multiply and Fourier Transform Unit: A Micro-Scale Optical Processor,” in white paper, Optalysis.
[195]
J. Friedman, T. Hastie, and R. Tibshirani. 2010. A note on the group lasso and a sparse group lasso. arXiv preprint arXiv:1001.0736, 2010.
[196]
B. Shi, D. Bunandar, D. Englund, and R. Stabile. 2018. WDM weighted sum in an 8x8 SOA-Based InP cross-connect for photonic deep neural networks. Conference on Photonics in Switching and Computing (PSC).
[197]
J. W. Cooley and J. W. Tukey. 1965. An algorithm for the machine calculation of complex fourier series. Math. Comput. 19, 90 (1965).
[198]
D. Brunner and I. Fischer. 2015. Reconfigurable semiconductor laser networks based on diffractive coupling. Opt. Lett. 40, 16 (2015), 3854–3857.
[199]
J. Bueno, S. Maktoobi, L. Froehly, I. Fischer, M. Jacquot, L. Larger, and D. Brunner. 2018. Reinforcement learning in a large-scale photonic recurrent neural network. Optica 5 (2018), 756–760.
[200]
M. C. Mackey and L. Glass. 1977. Oscillation and chaos in physiological control systems. Science 197 (1977), 287–289.
[201]
J. Dong, M. Rafayelyan, F. Krzakala, and S. Gigan. 2020. Optical reservoir computing using multiple light scattering for chaotic systems prediction. IEEE J. Sel. Top. Quantum Electron. 26 (2020), 1–12.
[202]
G. Bi and M. Poo. 1998. Synaptic modifications in cultured hippocampal neurons: Dependence on spike timing, synaptic strength, and postsynaptic cell type. J. Neurosci 18, 24 (1998), 10464–10472.
[203]
L. F. Abbott and S. B. Nelson. 2000. Synaptic plasticity: Taming the beast. Nature Neurosci. 3 (2000), 1178–1183.
[204]
G. Q. Bi and M. M. Poo. 2001. Synaptic modification by correlated activity: Hebb's postulate revisited. Ann. Rev. Neurosci. 24, (2001), 139–166.
[205]
B. Shi, N. Calabretta and R. Stabile. 2020. Deep neural network through an InP SOA-based photonic integrated cross-connect. IEEE J. Sel. Top. Quantum Electron 26, 1 (2020).
[206]
M. P. Fok, Y. Tian, D. Rosenbluth, and P. R. Prucnal. 2013. Pulse lead/lag timing detection for adaptive feedback and control based on optical spike timing-dependent plasticity. Opt. Lett. 38, 4 (2013), 419–421.
[207]
Q. Ren, Y. Zhang, R. Wang, and J. Zhao. 2015. Optical spike-timing dependent plasticity with weight-dependent learning window and reward modulation. Opt. Exp. 23, 19 (2015), 25247–25258.
[208]
N. Caporale and Y. Dan. 2008. Spike timing-dependent plasticity: A Hebbian learning rule. Ann, Rev, Neurosci, 31 (2008).
[209]
S. Xiang et al. 2019. STDP-based unsupervised spike pattern learning in a photonic spiking neural network with VCSELs and VCSOAs. IEEE J. Sel. Top. Quantum Electron 25, 6 (2019).
[210]
A. Hurtado, I. D. Henning and M. J. Adams. 2007. Effects of parallel and orthogonal polarization on nonlinear optical characteristics of a 1550 nm VCSOA. Opt. Exp. 15, 14 (2007), 9084–9089.
[211]
M. D. Sánchez, P. Wen, M. Gross, and S. C. Esener. 2003. Rate equations for modeling dispersive nonlinearity in Fabry-Perot semiconductor optical amplifiers. Opt. Exp. 11, 21 (2003), 2689–2696.
[212]
A. Hurtado and M. J. Adams. 2007. Two-wavelength switching with 1550 nm semiconductor laser amplifiers. J. Opt. Netw. 6, 5 (2007), 434–441.
[213]
Z. Song et al. 2020. Spike sequence learning in a photonic spiking neural network consisting of VCSELs-SA with supervised training. IEEE J. Sel. Top. Quantum Electron 26, 5 (2020).
[214]
K. Vandoorne, J. Dambre, D. Verstraeten, B. Schrauwen, and P. Bienstman. 2011. Parallel reservoir computing using optical amplifiers. IEEE Trans. Neural Netw 22, 9 (2011).
[215]
X. Xing Guo et al. 2020. High-speed neuromorphic reservoir computing based on a semiconductor nanolaser with optical feedback under electrical modulation. IEEE J. Sel. Top. Quantum Electron 26, 5 (2020).
[216]
A. S. Weigend and N. A. Gershenfeld. 1993. Time series prediction: Forecasting the future and understanding the past. Retrieved from http: //www-psych.stanford.edu/andreas/Time-Series/SantaFe.html.
[217]
Y. Zhu et al. 2020. Countering variations and thermal effects for accurate optical neural networks. In IEEE International Conference on Computer-Aided Design.
[218]
M.Y.-S. Fang et al. 2019. Design of optical neural networks with component imprecisions. Opt. Exp. 27 (2019), 14009–14029
[219]
M. Nikdast, G. Nicolescu, J. Trajkovic, and O. Liboiron-Ladouceur. 2016. Modeling fabrication non-uniformity in chip-scale silicon photonic interconnects. In IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition (DATE).
[220]
S. Chittamuru, I. Thakkar, and S. Pasricha. 2017. Analyzing voltage bias and temperature induced aging effects in photonic interconnects for manycore computing. In ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP’17), Austin, TX, USA. 1–8.
[221]
J. S. Orcutt, A. Khilo, C. W. Holzwarth, M. A. Popovic, H. Li, J. Sun, T. Bonifield, R. Hollingsworth, F. X. Kartner, H. I. Smith, V. Stojanovic, and R. J. Ram. 2011. Nanophotonic integration in state-of-the-art CMOS foundries. Opt. Exp. 19 (2011), 2335–2346.
[222]
A. Mahendra, C. Xiong, X. Zhang, B. J. Eggleton, and P. H. W. Leong. 2017. Multiwavelength stabilization control of a thermo-optic system with adaptive reconfiguration. Appl. Opt. 56, 4 (2017), 1113–1118.
[223]
W. R. Clements, R. William, P. C. Humphreys, B. J. Metcalf, W. S. Kolthammer, and I. A. Walmsley. 2016. Optimal design for universal multiport interferometers. Optica 3, 12 (2016), 1460–1465.
[224]
D. Dang, S. V. R. Chittamuru, S. Pasricha, R. Mahapatra, and D. Sahoo. 2021. BPLight-CNN: A photonics-based backpropagation accelerator for deep learning. ACM J. Emerg. Technol. Comput. Syst. To appear.
[225]
M. Y.-S. Fang, S. Manipatruni, C. Wierzynski, A. Khosrowshahi, and M. R. DeWeese. 2019. Design of optical neural networks with component imprecisions. Opt. Exp. 27, 10 (2019), 14009–14029.
[226]
S. Abel, F. Horst, P. Stark, R. Dangel, F. Eltes, Y. Baumgartner, J. Fompeyrine, and B. Offrein. 2019. Silicon photonics integration technologies for future computing systems. In OptoElectronic Communications Conference (OECC) and International Conference on PhotonIcs and Switching and Computing (PSC).
[227]
I. Cutress. 2020. Hot Chips 2020 Live Blog: Silicon Photonics for AI. Retrieved from https://www.anandtech.com/show/16010/hot-chips-2020-live-blog-silicon-photonics-for-ai-600pm-pt.
[228]
Accessed: 2021. Retrieved from https://www.lightelligence.ai/news.
[229]
Photonic computing for Machine Learning at scale. Retrieved from https://lighton.ai/photonic-computing-for-ai/.
[230]
K. Wiggers. 2021. Photonics startup Lightmatter details its AI optical accelerator chip. Retrieved from https://venturebeat.com/2020/08/17/photonics-startup-lightmatter-details-p1-its-ai-optical-accelerator-chip/.
[231]
N. Hemsoth. 2021. Will analog AI make mythic a unicorn? Retrieved from https://www.nextplatform.com/2019/06/12/will-analog-ai-make-mythic-a-unicorn/.
[232]
Hot AI Chips to Look Forward to in 2021. Retrieved from https://analyticsindiamag.com/latest-ai-chips-accelerators-2021/.
[233]
R. Andri, L. Cavigelli, D. Rossi, and L. Benini. 2016. YodaNN: An ultralow power convolutional neural network accelerator based on binary weights. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[234]
Y. Chen et al. 2014. DaDianNao: A machine-learning supercomputer. In IEEE/ACM International Symposium on Microarchitecture (MICRO).

Cited By

View all
  • (2024)COMET: A Cross-Layer Optimized Optical Phase-Change Main Memory Architecture2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546876(1-6)Online publication date: 25-Mar-2024
  • (2024)Accelerating Neural Networks for Large Language Models and Graph Processing with Silicon Photonics2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546653(1-6)Online publication date: 25-Mar-2024
  • (2024)Education Abstract: Optical Computing for AI AccelerationProceedings of the 2023 International Conference on Hardware/Software Codesign and System Synthesis10.1145/3607888.3610230(38-39)Online publication date: 19-Jan-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Journal on Emerging Technologies in Computing Systems
ACM Journal on Emerging Technologies in Computing Systems  Volume 17, Issue 4
October 2021
446 pages
ISSN:1550-4832
EISSN:1550-4840
DOI:10.1145/3472280
  • Editor:
  • Ramesh Karri
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 30 June 2021
Accepted: 01 March 2021
Revised: 01 March 2021
Received: 01 January 2021
Published in JETC Volume 17, Issue 4

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Silicon photonics
  2. deep learning
  3. neuromorphic computing

Qualifiers

  • Research-article
  • Refereed

Funding Sources

  • NSF

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)1,044
  • Downloads (Last 6 weeks)119
Reflects downloads up to 16 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)COMET: A Cross-Layer Optimized Optical Phase-Change Main Memory Architecture2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546876(1-6)Online publication date: 25-Mar-2024
  • (2024)Accelerating Neural Networks for Large Language Models and Graph Processing with Silicon Photonics2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546653(1-6)Online publication date: 25-Mar-2024
  • (2024)Education Abstract: Optical Computing for AI AccelerationProceedings of the 2023 International Conference on Hardware/Software Codesign and System Synthesis10.1145/3607888.3610230(38-39)Online publication date: 19-Jan-2024
  • (2024)Silicon Photonic 2.5D Interposer Networks for Overcoming Communication Bottlenecks in Scale-out Machine Learning Hardware Accelerators2024 IEEE 42nd VLSI Test Symposium (VTS)10.1109/VTS60656.2024.10538500(1-4)Online publication date: 22-Apr-2024
  • (2024)Analysis of Optical Loss and Crosstalk Noise in MZI-Based Coherent Photonic Neural NetworksJournal of Lightwave Technology10.1109/JLT.2024.337325042:13(4598-4613)Online publication date: 1-Jul-2024
  • (2024)Chip and Package-Scale Interconnects for General-Purpose, Domain-Specific, and Quantum Computing Systems—Overview, Challenges, and OpportunitiesIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2024.344582914:3(354-370)Online publication date: Sep-2024
  • (2024)Scaling Analog Photonic Accelerators for Byte-Size, Integer General Matrix Multiply (GEMM) Kernels2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI61997.2024.00080(409-414)Online publication date: 1-Jul-2024
  • (2024)A Comparative Analysis of Microrings Based Incoherent Photonic GEMM Accelerators2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528781(1-8)Online publication date: 3-Apr-2024
  • (2024)The Chaotic Behavior of an Optical Artificial NeuronFiber and Integrated Optics10.1080/01468030.2024.2411450(1-26)Online publication date: 7-Oct-2024
  • (2024)Nickel stanogermanides thin films: Phases formation, kinetics, and Sn segregationJournal of Applied Physics10.1063/5.0220979136:9Online publication date: 3-Sep-2024
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Get Access

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media