Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/354880.354891acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article
Free access

Efficient design space exploration in PICO

Published: 01 November 2000 Publication History
  • Get Citation Alerts
  • First page of PDF

    Cited By

    View all
    • (2013)Satellite Subsystem Automated DesignSpaceOps 2002 Conference10.2514/6.2002-T5-28Online publication date: 27-Mar-2013
    • (2012)Exploring and Predicting the Effects of Microarchitectural Parameters and Compiler Optimizations on Performance and EnergyACM Transactions on Embedded Computing Systems10.1145/2180887.218090111S:1(1-24)Online publication date: 1-Jun-2012
    • (2011)Performance evaluation of efficient multi-objective evolutionary algorithms for design space exploration of embedded computer systemsApplied Soft Computing10.1016/j.asoc.2009.11.02911:1(382-398)Online publication date: 1-Jan-2011
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CASES '00: Proceedings of the 2000 international conference on Compilers, architecture, and synthesis for embedded systems
    November 2000
    200 pages
    ISBN:1581133383
    DOI:10.1145/354880
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 November 2000

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. automated design
    2. design space decomposition
    3. hierarchical evaluation
    4. multi-objective optimization
    5. multiple criteria optimization

    Qualifiers

    • Article

    Acceptance Rates

    Overall Acceptance Rate 52 of 230 submissions, 23%

    Upcoming Conference

    ESWEEK '24
    Twentieth Embedded Systems Week
    September 29 - October 4, 2024
    Raleigh , NC , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)63
    • Downloads (Last 6 weeks)9
    Reflects downloads up to 12 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2013)Satellite Subsystem Automated DesignSpaceOps 2002 Conference10.2514/6.2002-T5-28Online publication date: 27-Mar-2013
    • (2012)Exploring and Predicting the Effects of Microarchitectural Parameters and Compiler Optimizations on Performance and EnergyACM Transactions on Embedded Computing Systems10.1145/2180887.218090111S:1(1-24)Online publication date: 1-Jun-2012
    • (2011)Performance evaluation of efficient multi-objective evolutionary algorithms for design space exploration of embedded computer systemsApplied Soft Computing10.1016/j.asoc.2009.11.02911:1(382-398)Online publication date: 1-Jan-2011
    • (2010)Accounting for Changing Customer NeedsWith s-Pareto Frontiers13th AIAA/ISSMO Multidisciplinary Analysis Optimization Conference10.2514/6.2010-9039Online publication date: 26-Jun-2010
    • (2009)Performance Matching of Hardware Acceleration Engines for Heterogeneous MPSoC Using Modular Performance AnalysisProceedings of the 22nd International Conference on Architecture of Computing Systems10.1007/978-3-642-00454-4_23(233-245)Online publication date: 19-Feb-2009
    • (2007)Automatic generation of ASICsProceedings of the Second NASA/ESA Conference on Adaptive Hardware and Systems10.1109/AHS.2007.36(311-317)Online publication date: 5-Aug-2007
    • (2007)Efficient design space exploration for application specific systems-on-a-chipJournal of Systems Architecture: the EUROMICRO Journal10.1016/j.sysarc.2007.01.00453:10(733-750)Online publication date: 1-Oct-2007
    • (2007)SystemsyntheseDigitale Hardware/Software-Systeme10.1007/978-3-540-46824-0_8(427-500)Online publication date: 2007
    • (2007)Application-Specific Embedded ProcessorsDesigning Embedded Processors10.1007/978-1-4020-5869-1_1(3-23)Online publication date: 2007
    • (2006)Conjoining soft-core FPGA processorsProceedings of the 2006 IEEE/ACM international conference on Computer-aided design10.1145/1233501.1233645(694-701)Online publication date: 5-Nov-2006
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media