Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Open access

Hardware-Aware Static Optimization of Hyperdimensional Computations

Published: 16 October 2023 Publication History

Abstract

Binary spatter code (BSC)-based hyperdimensional computing (HDC) is a highly error-resilient approximate computational paradigm suited for error-prone, emerging hardware platforms. In BSC HDC, the basic datatype is a hypervector, a typically large binary vector, where the size of the hypervector has a significant impact on the fidelity and resource usage of the computation. Typically, the hypervector size is dynamically tuned to deliver the desired accuracy; this process is time-consuming and often produces hypervector sizes that lack accuracy guarantees and produce poor results when reused for very similar workloads. We present Heim, a hardware-aware static analysis and optimization framework for BSC HD computations. Heim analytically derives the minimum hypervector size that minimizes resource usage and meets the target accuracy requirement. Heim guarantees the optimized computation converges to the user-provided accuracy target on expectation, even in the presence of hardware error. Heim deploys a novel static analysis procedure that unifies theoretical results from the neuroscience community to systematically optimize HD computations.
We evaluate Heim against dynamic tuning-based optimization on 25 benchmark data structures. Given a 99% accuracy requirement, Heim-optimized computations achieve a 99.2%-100.0% median accuracy, up to 49.5% higher than dynamic tuning-based optimization, while achieving 1.15x-7.14x reductions in hypervector size compared to HD computations that achieve comparable query accuracy and finding parametrizations 30.0x-100167.4x faster than dynamic tuning-based approaches. We also use Heim to systematically evaluate the performance benefits of using analog CAMs and multiple-bit-per-cell ReRAM over conventional hardware, while maintaining iso-accuracy – for both emerging technologies, we find usages where the emerging hardware imparts significant benefits.

Supplementary Material

Auxiliary Archive (oopslab23main-p50-p-archive.zip)
This is the PDF file of the supplementary materials for the OOPSLA 2023 Article "Hardware-Aware Static Optimization of Hyperdimensional Computations" by Pu (Luke) Yi and Sara Achour.

References

[1]
Sara Achour and Martin C Rinard. 2015. Approximate computation with outlier detection in topaz. Acm Sigplan Notices, 50, 10 (2015), 711–730. https://doi.org/10.1145/2858965.2814314
[2]
Toygun Basaklar, Yigit Tuncel, Shruti Yadav Narayana, Suat Gumussoy, and Umit Y Ogras. 2021. Hypervector design for efficient hyperdimensional computing on edge devices. arXiv preprint arXiv:2103.06709, https://doi.org/10.48550/arXiv.2103.06709
[3]
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R Hower, Tushar Krishna, and Somayeh Sardashti. 2011. The gem5 simulator. ACM SIGARCH computer architecture news, 39, 2 (2011), 1–7. https://doi.org/10.1145/2024716.2024718
[4]
Kenneth L Clarkson, Shashanka Ubaru, and Elizabeth Yang. 2023. Capacity Analysis of Vector Symbolic Architectures. arXiv preprint arXiv:2301.10352, https://doi.org/10.48550/arXiv.2301.10352
[5]
Manuel Eggimann, Abbas Rahimi, and Luca Benini. 2021. A 5 μ w standard cell memory-based configurable hyperdimensional computing accelerator for always-on smart sensing. IEEE Transactions on Circuits and Systems I: Regular Papers, 68, 10 (2021), 4116–4128. https://doi.org/10.1109/TCSI.2021.3100266
[6]
E Paxon Frady, Denis Kleyko, and Friedrich T Sommer. 2018. A theory of sequence indexing and working memory in recurrent neural networks. Neural Computation, 30, 6 (2018), 1449–1513. https://doi.org/10.1162/neco_a_01084
[7]
Stephen I Gallant and T Wendy Okaywe. 2013. Representing objects, relations, and sequences. Neural computation, 25, 8 (2013), 2038–2078. https://doi.org/10.1162/NECO_a_00467
[8]
Ross W Gayler and Simon D Levy. 2009. A distributed basis for analogical mapping. In New Frontiers in Analogy Research; Proc. of 2nd Intern. Analogy Conf. 9.
[9]
Alessandro Grossi, Elisa Vianello, Mohamed M Sabry, Marios Barlas, Laurent Grenouillet, Jean Coignus, Edith Beigne, Tony Wu, Binh Q Le, and Mary K Wootters. 2019. Resistive RAM endurance: Array-level characterization and correction techniques targeting deep learning applications. IEEE Transactions on Electron Devices, 66, 3 (2019), 1281–1288. https://doi.org/10.1109/TED.2019.2894387
[10]
Yasmin Halawani, Eman Hassan, Baker Mohammad, and Hani Saleh. 2021. Fused RRAM-based shift-add architecture for efficient hyperdimensional computing paradigm. In 2021 IEEE International Midwest Symposium on Circuits and Systems (MWSCAS). 179–182. https://doi.org/10.1109/MWSCAS47672.2021.9531748
[11]
Mike Heddes, Igor Nunes, Tony Givargis, Alexandru Nicolau, and Alex Veidenbaum. 2022. Hyperdimensional hashing: a robust and efficient dynamic hash table. In Proceedings of the 59th ACM/IEEE Design Automation Conference. 907–912. https://doi.org/10.1145/3489517.3530553
[12]
ER Hsieh, M Giordano, B Hodson, A Levy, SK Osekowsky, RM Radway, YC Shih, W Wan, TF Wu, and X Zheng. 2019. High-density multiple bits-per-cell 1T4R RRAM array with gradual SET/RESET and its effectiveness for deep learning. In 2019 IEEE International Electron Devices Meeting (IEDM). 35–6. https://doi.org/10.1109/IEDM19573.2019.8993514
[13]
Mohsen Imani, Samuel Bosch, Sohum Datta, Sharadhi Ramakrishna, Sahand Salamat, Jan M Rabaey, and Tajana Rosing. 2019. Quanthd: A quantization framework for hyperdimensional computing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39, 10 (2019), 2268–2278. https://doi.org/10.1109/TCAD.2019.2954472
[14]
Mohsen Imani, Chenyu Huang, Deqian Kong, and Tajana Rosing. 2018. Hierarchical hyperdimensional computing for energy efficient classification. In Proceedings of the 55th Annual Design Automation Conference. 1–6. https://doi.org/10.1145/3195970.3196060
[15]
Mohsen Imani, Deqian Kong, Abbas Rahimi, and Tajana Rosing. 2017. Voicehd: Hyperdimensional computing for efficient speech recognition. In 2017 IEEE international conference on rebooting computing (ICRC). 1–8. https://doi.org/10.1109/ICRC.2017.8123650
[16]
Mohsen Imani, Abbas Rahimi, Deqian Kong, Tajana Rosing, and Jan M Rabaey. 2017. Exploring hyperdimensional associative memory. In 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA). 445–456. https://doi.org/10.1109/HPCA.2017.28
[17]
Mohsen Imani, Sahand Salamat, Saransh Gupta, Jiani Huang, and Tajana Rosing. 2019. Fach: Fpga-based acceleration of hyperdimensional computing by reducing computational complexity. In Proceedings of the 24th Asia and South Pacific Design Automation Conference. 493–498. https://doi.org/10.1145/3287624.3287667
[18]
Mohsen Imani, Sahand Salamat, Behnam Khaleghi, Mohammad Samragh, Farinaz Koushanfar, and Tajana Rosing. 2019. Sparsehd: Algorithm-hardware co-optimization for efficient high-dimensional computing. In 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). 190–198. https://doi.org/10.1109/FCCM.2019.00034
[19]
Michael N Jones and Douglas JK Mewhort. 2007. Representing word meaning and order information in a composite holographic lexicon. Psychological review, 114, 1 (2007), 1. https://doi.org/10.1037/0033-295X.114.1.1
[20]
Pentti Kanerva. 2009. Hyperdimensional computing: An introduction to computing in distributed representation with high-dimensional random vectors. Cognitive computation, 1, 2 (2009), 139–159.
[21]
Pentti Kanerva. 2010. What we mean when we say" What’s the dollar of Mexico?": Prototypes and mapping in concept space. In 2010 AAAI fall symposium series.
[22]
Pentti Kanerva. 2014. Computing with 10,000-bit words. In 2014 52nd annual Allerton conference on communication, control, and computing (Allerton). 304–310. https://doi.org/10.1109/ALLERTON.2014.7028470
[23]
Pentti Kanerva. 2018. Computing with high-dimensional vectors. IEEE Design & Test, 36, 3 (2018), 7–14. https://doi.org/10.1109/MDAT.2018.2890221
[24]
Pentti Kanerva. 1997. Fully distributed representation. PAT, 1, 5 (1997), 10000.
[25]
Geethan Karunaratne, Manuel Le Gallo, Giovanni Cherubini, Luca Benini, Abbas Rahimi, and Abu Sebastian. 2020. In-memory hyperdimensional computing. Nature Electronics, 3, 6 (2020), 327–337. https://doi.org/10.1038/s41565-023-01357-8
[26]
Yeseong Kim, Mohsen Imani, Niema Moshiri, and Tajana Rosing. 2020. Geniehd: Efficient dna pattern matching accelerator using hyperdimensional computing. In 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). 115–120. https://doi.org/10.23919/DATE48585.2020.9116397
[27]
Denis Kleyko, Connor Bybee, Ping-Chen Huang, Christopher J Kymn, Bruno A Olshausen, E Paxon Frady, and Friedrich T Sommer. 2023. Efficient decoding of compositional structure in holistic representations. Neural Computation, 35, 7 (2023), 1159–1186. https://doi.org/10.1162/neco_a_01590
[28]
Denis Kleyko, Mike Davies, Edward Paxon Frady, Pentti Kanerva, Spencer J Kent, Bruno A Olshausen, Evgeny Osipov, Jan M Rabaey, Dmitri A Rachkovskij, and Abbas Rahimi. 2022. Vector Symbolic Architectures as a Computing Framework for Emerging Hardware. Proc. IEEE, 110, 10 (2022), 1538–1571. https://doi.org/10.1109/JPROC.2022.3209104
[29]
Denis Kleyko, Evgeny Osipov, Alexander Senior, Asad I Khan, and Yaşar Ahmet Şekerciogğlu. 2016. Holographic graph neuron: A bioinspired architecture for pattern processing. IEEE transactions on neural networks and learning systems, 28, 6 (2016), 1250–1262. https://doi.org/10.1109/TNNLS.2016.2535338
[30]
Denis Kleyko, Dmitri Rachkovskij, Evgeny Osipov, and Abbas Rahimi. 2023. A survey on hyperdimensional computing aka vector symbolic architectures, part ii: Applications, cognitive models, and challenges. Comput. Surveys, 55, 9 (2023), 1–52. https://doi.org/10.1145/3558000
[31]
Denis Kleyko, Dmitri A Rachkovskij, Evgeny Osipov, and Abbas Rahimi. 2021. A Survey on Hyperdimensional Computing aka Vector Symbolic Architectures, Part I: Models and Data Transformations. ACM Computing Surveys (CSUR), https://doi.org/A Survey on Hyperdimensional Computing aka Vector Symbolic Architectures
[32]
Denis Kleyko, Abbas Rahimi, Ross W Gayler, and Evgeny Osipov. 2020. Autoscaling bloom filter: controlling trade-off between true and false positives. Neural Computing and Applications, 32 (2020), 3675–3684. https://doi.org/10.1007/s00521-019-04397-1
[33]
Denis Kleyko, Antonello Rosato, Edward Paxon Frady, Massimo Panella, and Friedrich T. Sommer. 2023. Perceptron Theory Can Predict the Accuracy of Neural Networks. IEEE Transactions on Neural Networks and Learning Systems, 1–15. https://doi.org/10.1109/TNNLS.2023.3237381
[34]
Jovin Langenegger, Geethan Karunaratne, Michael Hersche, Luca Benini, Abu Sebastian, and Abbas Rahimi. 2023. In-memory factorization of holographic perceptual representations. Nature Nanotechnology, 1–7. https://doi.org/10.1038/s41565-023-01357-8
[35]
Binh Q Le, Akash Levy, Tony F Wu, Robert M Radway, E Ray Hsieh, Xin Zheng, Mark Nelson, Priyanka Raina, H-S Philip Wong, and Simon Wong. 2021. RADAR: A fast and energy-efficient programming technique for multiple bits-per-cell RRAM arrays. IEEE Transactions on Electron Devices, 68, 9 (2021), 4397–4403. https://doi.org/10.1109/TED.2021.3097975
[36]
Haitong Li, Tony F Wu, Abbas Rahimi, Kai-Shin Li, Miles Rusch, Chang-Hsien Lin, Juo-Luen Hsu, Mohamed M Sabry, S Burc Eryilmaz, and Joon Sohn. 2016. Hyperdimensional computing with 3D VRRAM in-memory kernels: Device-architecture co-design for energy-efficient, error-resilient language recognition. In 2016 IEEE International Electron Devices Meeting (IEDM). 16–1. https://doi.org/10.1109/IEDM.2016.7838428
[37]
Sasa Misailovic, Michael Carbin, Sara Achour, Zichao Qi, and Martin C Rinard. 2014. Chisel: Reliability-and accuracy-aware optimization of approximate computational kernels. ACM Sigplan Notices, 49, 10 (2014), 309–328. https://doi.org/10.1145/2714064.2660231
[38]
Fabio Montagna, Abbas Rahimi, Simone Benatti, Davide Rossi, and Luca Benini. 2018. PULP-HD: Accelerating brain-inspired high-dimensional computing on a parallel ultra-low power platform. In 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC). 1–6. https://doi.org/10.1145/3195970.3196096
[39]
Justin Morris, Mohsen Imani, Samuel Bosch, Anthony Thomas, Helen Shu, and Tajana Rosing. 2019. CompHD: Efficient hyperdimensional computing using model compression. In 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). 1–6. https://doi.org/10.1109/ISLPED.2019.8824908
[40]
SV Nagaev and VI Chebotarev. 2011. On the bound of proximity of the binomial distribution to the normal one. In Doklady Mathematics. 83, 19–21. https://doi.org/10.1134/S1064562411010030
[41]
Evgeny Osipov, Denis Kleyko, and Alexander Legalov. 2017. Associative synthesis of finite state automata model of a controlled object with hyperdimensional computing. In IECON 2017-43rd Annual Conference of the IEEE Industrial Electronics Society. 3276–3281. https://doi.org/10.1109/IECON.2017.8216554
[42]
Dmitry V Pashchenko, Dmitry A Trokoz, Alexey I Martyshkin, Mihail P Sinev, and Boris L Svistunov. 2020. Search for a substring of characters using the theory of non-deterministic finite automata and vector-character architecture. Bulletin of Electrical Engineering and Informatics, 9, 3 (2020), 1238–1250. https://doi.org/10.11591/eei.v9i3.1720
[43]
Tony A Plate. 1994. Distributed representations and nested compositional structure. Citeseer.
[44]
Tony A Plate. 2000. Analogy retrieval and processing with distributed vector representations. Expert systems, 17, 1 (2000), 29–40. https://doi.org/10.1111/1468-0394.00125
[45]
Tony A Plate. 2003. Holographic Reduced Representation: Distributed representation for cognitive structures.
[46]
Prathyush Poduval, Zhuowen Zou, Hassan Najafi, Houman Homayoun, and Mohsen Imani. 2021. Stochd: Stochastic hyperdimensional system for efficient and robust learning from raw data. In 2021 58th ACM/IEEE Design Automation Conference (DAC). 1195–1200. https://doi.org/10.1109/DAC18074.2021.9586166
[47]
Dmitri A Rachkovskij and Serge V Slipchenko. 2012. Similarity-based retrieval with structure-sensitive sparse binary distributed representations. Computational Intelligence, 28, 1 (2012), 106–129. https://doi.org/10.1111/j.1467-8640.2011.00423.x
[48]
Abbas Rahimi, Simone Benatti, Pentti Kanerva, Luca Benini, and Jan M Rabaey. 2016. Hyperdimensional biosignal processing: A case study for EMG-based hand gesture recognition. In 2016 IEEE International Conference on Rebooting Computing (ICRC). 1–8. https://doi.org/10.1109/ICRC.2016.7738683
[49]
Abbas Rahimi, Sohum Datta, Denis Kleyko, Edward Paxon Frady, Bruno Olshausen, Pentti Kanerva, and Jan M Rabaey. 2017. High-dimensional computing as a nanoscalable paradigm. IEEE Transactions on Circuits and Systems I: Regular Papers, 64, 9 (2017), 2508–2521. https://doi.org/10.1109/TCSI.2017.2705051
[50]
Abbas Rahimi, Pentti Kanerva, Luca Benini, and Jan M Rabaey. 2018. Efficient biosignal processing using hyperdimensional computing: Network templates for combined learning and classification of exg signals. Proc. IEEE, 107, 1 (2018), 123–143. https://doi.org/10.1109/JPROC.2018.2871163
[51]
Kenny Schlegel, Florian Mirus, Peer Neubert, and Peter Protzel. 2021. Multivariate time series analysis for driving style classification using neural networks and hyperdimensional computing. In 2021 IEEE Intelligent Vehicles Symposium (IV). 602–609. https://doi.org/10.1109/IV48863.2021.9576028
[52]
Kenny Schlegel, Peer Neubert, and Peter Protzel. 2022. HDC-MiniROCKET: Explicit time encoding in time series classification with hyperdimensional computing. In 2022 International Joint Conference on Neural Networks (IJCNN). 1–8. https://doi.org/10.1109/IJCNN55064.2022.9892158
[53]
Hashim Sharif, Yifan Zhao, Maria Kotsifakou, Akash Kothari, Ben Schreiber, Elizabeth Wang, Yasmin Sarita, Nathan Zhao, Keyur Joshi, and Vikram S Adve. 2021. ApproxTuner: a compiler and runtime system for adaptive approximations. In Proceedings of the 26th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming. 262–277. https://doi.org/10.1145/3437801.3446108
[54]
Max M Shulaker, Tony F Wu, Asish Pal, Liang Zhao, Yoshio Nishi, Krishna Saraswat, H-S Philip Wong, and Subhasish Mitra. 2014. Monolithic 3D integration of logic and memory: Carbon nanotube FETs, resistive RAM, and silicon FETs. In 2014 IEEE International Electron Devices Meeting. 27–4. https://doi.org/10.1109/IEDM.2014.7047120
[55]
Chris Simpkin, Ian Taylor, Graham A Bent, Geeth de Mel, Swati Rallapalli, Liang Ma, and Mudhakar Srivatsa. 2019. Constructing distributed time-critical applications using cognitive enabled services. Future Generation Computer Systems, 100 (2019), 70–85. https://doi.org/10.1016/j.future.2019.04.010
[56]
Justin Theiss, Jay Leverett, Daeil Kim, and Aayush Prakash. 2022. Unpaired Image Translation via Vector Symbolic Architectures. In Computer Vision–ECCV 2022: 17th European Conference, Tel Aviv, Israel, October 23–27, 2022, Proceedings, Part XXI. 17–32. https://doi.org/10.1007/978-3-031-19803-8_2
[57]
Anthony Thomas, Sanjoy Dasgupta, and Tajana Rosing. 2021. Theoretical Foundations of Hyperdimensional Computing. Journal of Artificial Intelligence Research, 72 (2021), 215–249. https://doi.org/10.48550/arXiv.2010.07426
[58]
Anjiang Wei, Akash Levy, Pu (Luke) Yi, Robert Radway, Priyanka Raina, Subhasish Mitra, and Sara Achour. 2023. PBA: Percentile-Based Level Allocation for Multiple-Bits-Per-Cell RRAM. In ICCAD.
[59]
Tony F Wu, Haitong Li, Ping-Chen Huang, Abbas Rahimi, Gage Hills, Bryce Hodson, William Hwang, Jan M Rabaey, H-S Philip Wong, and Max M Shulaker. 2018. Hyperdimensional computing exploiting carbon nanotube FETs, resistive RAM, and their monolithic 3D integration. IEEE Journal of Solid-State Circuits, 53, 11 (2018), 3183–3196. https://doi.org/10.1109/JSSC.2018.2870560
[60]
Thomas Yerxa, Alexander Anderson, and Eric Weiss. 2018. The hyperdimensional stack machine. Cognitive Computing, 1–2.
[61]
Pu (Luke) Yi and Sara Achour. 2023. Artifact for the OOPSLA 2023 Article "Hardware-Aware Static Optimization of Hyperdimensional Computations". https://doi.org/10.5281/zenodo.8329813
[62]
Tao Yu, Yichi Zhang, Zhiru Zhang, and Christopher M De Sa. 2022. Understanding hyperdimensional computing for parallel single-pass learning. Advances in Neural Information Processing Systems, 35 (2022), 1157–1169.

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Proceedings of the ACM on Programming Languages
Proceedings of the ACM on Programming Languages  Volume 7, Issue OOPSLA2
October 2023
2250 pages
EISSN:2475-1421
DOI:10.1145/3554312
Issue’s Table of Contents
This work is licensed under a Creative Commons Attribution 4.0 International License.

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 16 October 2023
Published in PACMPL Volume 7, Issue OOPSLA2

Permissions

Request permissions for this article.

Check for updates

Badges

Author Tags

  1. emerging hardware technologies
  2. program optimization
  3. unconventional computing

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 278
    Total Downloads
  • Downloads (Last 12 months)278
  • Downloads (Last 6 weeks)44
Reflects downloads up to 13 Sep 2024

Other Metrics

Citations

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media