Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3626184.3633318acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Timing-Driven Analytical Placement According to Expected Cell Distribution Range

Published: 12 March 2024 Publication History

Abstract

Since the multilevel framework with the analytical approach has been proven as a promising method to handle the very-large-scale integration (VLSI) placement problem, this paper presents two techniques including a pin-connectivity-aware cluster score function and identification of expected object distribution ranges to further improve the coarsening and refinement stages of this framework. Moreover, we extend the proposed analytical placement method to consider timing in order to speed up design convergence. To optimize timing without increasing wirelength, our approach only increases the weights of timing-critical nets, where the weight of a net is estimated according to the associated timing slack and degree. Besides, we propose a new equation to update net weights based on their historical values to maintain the stability of the net-based timing-driven placement approach. Experimental results demonstrate that the proposed analytical placement approach with new techniques can actually improve wirelength of the classic approach. Moreover, our TDP can get much better WNS and TNS than the previous timing-driven placers such as DREAMPlace4.0 and Differentiable TDP.

References

[1]
C. Alpert, A. Kahng, G.-J. Nam, S. Reda, and P. Villarrubia, "A semi-persistent clustering technique for VLSI circuit placement," in Proc. of ISPD, pp. 200--207, 2005.
[2]
M. Burstein and M. N. Youssef, "Timing Influenced Layout Design," in Proc. of DAC, pp. 124--130, 1985.
[3]
H. Chang, E. Shragowitz, J. Liu, H. Youssef, B. Lu, and S. Sutanthavibul, "Net criticality revisited: An effective method to improve timing in physical design," in Proc. of ISPD, pp. 155--160, 2002.
[4]
A. Chowdhary et al., "How accurately can we model timing in a placement engine"," in Proc. of DAC, pp. 801--806, 2005.
[5]
T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang, "NTUplace3: An analytical placer for large-scale mixed-size designs with pre-placed blocks and density constraints," IEEE Trans. of TCAD, vol. 27, no. 7, pp. 1228--1240, 2008.
[6]
A. E. Dunlop, V. D. Agrawal, D. N. Deutsch, M. Jukl, P. Kozak, and M. Wiesel, "Chip layout optimization using critical path weighting," in Proc. of DAC, pp. 133--136, 1984.
[7]
H. Eisenmann and F. M. Johannes, "Generic global placement and floorplanning," in Proc. of DAC, pp. 269--274, 1998.
[8]
Zizheng Guo and Yibo Lin. 2022. "Differentiable-timing-driven global placement," in Proc. of DAC, pp. 1315--1320, 2022.
[9]
T. Hamada, C.-K. Cheng, and P. M. Chau, "Prime: A timing-driven placement tool using a piecewise linear resistive network approach," in Proc. of DAC, pp. 531--536, 1993.
[10]
B. Halpin, C. R. Chen, and N. Sehgal, "A sensitivity based placer for standard cells," in Proc. of GLSVLSI, pp. 193--196, 2000.
[11]
M.-K. Hsu, V. Balabanov and Y. -W. Chang, "TSV-aware analytical placement for 3-D IC designs based on a novel weighted-average Wirelength Model," IEEE Trans. of TCAD, vol. 32, no. 4, pp. 497--509, 2013.
[12]
T.-W. Huang and M. D. F. Wong, "OpenTimer: A high-performance timing analysis tool," in Proc. of ICCAD, pp. 895--902, 2015.
[13]
M. A. B. Jackson and E. S. Kuh, "Performance-driven placement of cell based IC's," in Proc. of DAC, pp. 370--375, 1989.
[14]
T. Kong, "A novel net weighting algorithm for timing-driven placement," in Proc. of ICCAD, pp. 172--176, 2002.
[15]
A. B. Kahng and Qinke Wang, "Implementation and extensibility of an analytic placer," IEEE Trans. of TCAD, vol. 24, no. 5, pp. 734--747, 2005.
[16]
M.-C. Kim, J. Hu, J. Li and N. Viswanathan, "ICCAD-2015 CAD contest in incremental timing-driven placement and benchmark suite," in Proc. of ICCAD, pp. 921--926, 2015.
[17]
J.-M. Lin, S.-T. Li, and Y.-T. Wang, "Routability-driven mixed-size placement prototyping approach considering design hierarchy and indirect connectivity between macros," in Proc. of DAC, pp. 1--6, 2019.
[18]
P. Liao, S. Liu, Z. Chen, W. Lv, Y. Lin and B. Yu, "DREAMPlace 4.0: Timing-driven global placement with momentum-based net weighting," in Proc. of DATE, pp. 939--944, 2022.
[19]
W. C. Naylor, R. Donelly, and L. Sha, "Non-linear optimization system and method for wire length and delay optimization for an automatic electric circuit placer," U.S. Patent 6 301 693, Oct 9, 2001.
[20]
B. Obermeier and F. M. Johannes, "Quadratic placement using an improved timing model," in Proc. of DAC, pp. 705--710, 2004.
[21]
B. M. Riess and G. G. Ettelt, "SPEED: Fast and efficient timing driven placement," in Proc. of ISCAS, pp. 377--380, 1995.
[22]
W. Swartz and C. Sechen, "Timing driven placement for large standard cell circuits," in Proc. of DAC, pp. 211--215, 1995.
[23]
Ting-Yuan Wang, Jeng-Liang Tsai, and Charlie Chung-Ping Chen, "Sensitivity guided net weighting for placement driven synthesis," in Proc. of ISPD, pp. 124--131, 2004.
[24]
Z. Xiu and R. A. Rutenbar, "Timing-driven placement by grid-warping," in Proc. of DAC, pp. 585--591, 2005.
[25]
Cadence, Inc. Innovus. Accessed: November 23, 2020. [Online]. Available: https://www.cadence.com/en_US/home/tools/digital-design-and-signoff/socimplementation-and-floorplanning/innovus-implementation-system.html
[26]
Himax Technologies, Inc. Available: https://www.himax.com.tw/zh/company/abouthimax/
[27]
Synopsys, Inc. IC Compiler II Accessed: July 1, 2022. [Online]. Available: https://www.synopsys.com/implementation-and-signoff/physicalimplementation/ic-compiler.html

Index Terms

  1. Timing-Driven Analytical Placement According to Expected Cell Distribution Range

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISPD '24: Proceedings of the 2024 International Symposium on Physical Design
    March 2024
    286 pages
    ISBN:9798400704178
    DOI:10.1145/3626184
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 12 March 2024

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. physical design
    2. placement
    3. timing

    Qualifiers

    • Research-article

    Conference

    ISPD '24
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 62 of 172 submissions, 36%

    Upcoming Conference

    ISPD '25
    International Symposium on Physical Design
    March 16 - 19, 2025
    Austin , TX , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 154
      Total Downloads
    • Downloads (Last 12 months)154
    • Downloads (Last 6 weeks)23
    Reflects downloads up to 12 Sep 2024

    Other Metrics

    Citations

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media