Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Open access
Just Accepted

CoolDC: A Cost-Effective Immersion-Cooled Datacenter with Workload-Aware Temperature Scaling

Online AM: 14 May 2024 Publication History

Abstract

For datacenter architects, it is the most important goal to minimize the datacenter’s total cost of ownership for the target performance (i.e., TCO/performance). As the major component of a datacenter is a server farm, the most effective way of reducing TCO/performance is to improve the server’s performance and power efficiency. To achieve the goal, we claim that it is highly promising to reduce each server’s temperature to its most cost-effective point (or temperature scaling).
In this paper, we propose CoolDC, a novel and immediately-applicable low-temperature cooling method to minimize the datacenter’s TCO. The key idea is to find and apply the most cost-effective sub-freezing temperature to target servers and workloads. For that purpose, we first apply the immersion cooling method to the entire servers to maintain a stable low temperature with little extra cooling and maintenance costs. Second, we define the TCO-optimal temperature for datacenter operation (e.g., 248K~273K (-25℃~0℃)) by carefully estimating all the costs and benefits at low temperatures. Finally, we propose CoolDC, our immersion-cooling datacenter architecture to run every workload at its own TCO-optimal temperature. By incorporating our low-temperature workload-aware temperature scaling, CoolDC achieves 12.7% and 13.4% lower TCO/performance than the conventional air-cooled and immersion-cooled datacenters, respectively, without any modification to existing computers.

References

[1]
Adorama. [n. d.]. Price of Novec 7100. https://www.silmid.com/us/specialties/specialty-chemicals/3M-Novec-HFE-7100-Hydrofluoroether-Engineered-Fluid-in-various-sizes/
[2]
Shamiul Alam, Md Mazharul Islam, Md Shafayat Hossain, Akhilesh Jaiswal, and Ahmedullah Aziz. 2022. CryoCiM: Cryogenic compute-in-memory based on the quantum anomalous Hall effect. Applied Physics Letters 120, 14 (2022).
[3]
Alibaba. [n. d.]. Immersion cooling for Green Computing. https://www.opencompute.org/files/Immersion-Cooling-for-Green-Computing-V1.0.pdf
[4]
Amazon. [n. d.]. Price for pipe insulation. https://www.amazon.com/M-D-Building-Products-50142-Polyethylene/dp/B000FPAKP0
[5]
Schneider Electric (APC). [n. d.]. Datacenter capital cost calculator of Schneider Electric (APC). https://www.se.com/ww/en/work/solutions/system/s1/data-center-and-network-systems/trade-off-tools/data-center-capital-cost-calculator/
[6]
ASHRAE. [n. d.]. ASHRAE Equipment life expectancy chart.
[7]
Jong-Ho Bae, Jong-Won Back, Min-Woo Kwon, Jae Hwa Seo, Keon Yoo, Sung Yun Woo, Kyungchul Park, Byung-Gook Park, and Jong-Ho Lee. 2019. Characterization of a capacitorless DRAM cell for cryogenic memory applications. IEEE Electron Device Letters 40, 10 (2019), 1614–1617.
[8]
Luiz André Barroso, Urs Hölzle, and Parthasarathy Ranganathan. 2018. The datacenter as a computer: Designing warehouse-scale machines. Synthesis Lectures on Computer Architecture 13, 3 (2018), i–189.
[9]
Ilkwon Byun, Dongmoon Min, Gyuhyeon Lee, Seongmin Na, and Jangwoo Kim. 2021. A next-generation cryogenic processor architecture. IEEE Micro 41, 3 (2021), 80–86.
[10]
Ilkwon Byun, Dongmoon Min, Gyu-hyeon Lee, and Jangwoo Kim. 2020. CryoCore: A Fast and Dense Processor Architecture for Cryogenic Computing. In Proceedings of the 47th International Symposium on Computer Architecture.
[11]
William D Callister Jr and David G Rethwisch. 2012. Fundamentals of materials science and engineering: an integrated approach. John Wiley & Sons.
[12]
Carrier. [n. d.]. Water and air cooled chillers efficiency and total cost of ownership comparison.([n. d.]).
[13]
E. Charbon, F. Sebastiano, M. Babaie, A. Vladimirescu, M. Shahmohammadi, R. B. Staszewski, H. A. R. Homulle, B. Patra, J. P. G. van Dijk, R. M. Incandela, L. Song, and B. Valizadehpasha. 2017. 15.5 Cryo-CMOS circuits and systems for scalable quantum computing. In 2017 IEEE International Solid-State Circuits Conference (ISSCC). 264–265.
[14]
Shuang Chen, Christina Delimitrou, and José F Martínez. 2019. PARTIES: QoS-aware resource partitioning for multiple interactive services. In Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems. 107–120.
[15]
Vienna Scientific Cluster. [n. d.]. VSC-3 high performance computing systems. https://vsc.ac.at/systems/vsc-3/
[16]
Christina Delimitrou and Christos Kozyrakis. 2013. Paragon: QoS-aware scheduling for heterogeneous datacenters. ACM SIGPLAN Notices 48, 4 (2013), 77–88.
[17]
Christina Delimitrou and Christos Kozyrakis. 2014. Quasar: resource-efficient and QoS-aware cluster management. ACM SIGPLAN Notices 49, 4 (2014), 127–144.
[18]
Xiaobo Fan, Wolf-Dietrich Weber, and Luiz Andre Barroso. 2007. Power provisioning for a warehouse-sized computer. ACM SIGARCH computer architecture news 35, 2 (2007), 13–23.
[19]
Michael Ferdman, Almutaz Adileh, Onur Kocberber, Stavros Volos, Mohammad Alisafaee, Djordje Jevdjic, Cansu Kaynak, Adrian Daniel Popescu, Anastasia Ailamaki, and Babak Falsafi. 2012. Clearing the clouds: a study of emerging scale-out workloads on modern hardware. Acm sigplan notices 47, 4 (2012), 37–48.
[20]
Yu Gan, Yanqi Zhang, Dailun Cheng, Ankitha Shetty, Priyal Rathi, Nayan Katarki, Ariana Bruno, Justin Hu, Brian Ritchken, Brendon Jackson, et al. 2019. An open-source benchmark suite for microservices and their hardware-software implications for cloud & edge systems. In Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems. 3–18.
[21]
Esteban Garzón, Raffaele De Rose, Felice Crupi, Mario Carpentieri, Adam Teman, and Marco Lanuzza. 2021. Simulation analysis of DMTJ-based STT-MRAM operating at cryogenic temperatures. IEEE Transactions on Magnetics 57, 7 (2021), 1–6.
[22]
Esteban Garzón, Raffaele De Rose, Felice Crupi, Adam Teman, and Marco Lanuzza. 2021. Exploiting STT-MRAMs for cryogenic non-volatile cache applications. IEEE Transactions on Nanotechnology 20 (2021), 123–128.
[23]
Esteban Garzón, Yosi Greenblatt, Odem Harel, Marco Lanuzza, and Adam Teman. 2021. Gain-cell embedded DRAM under cryogenic operation—A first study. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 29, 7(2021), 1319–1324.
[24]
Sriram Govindan, Anand Sivasubramaniam, and Bhuvan Urgaonkar. 2011. Benefits and limitations of tapping into stored energy for datacenters. In 2011 38th Annual International Symposium on Computer Architecture (ISCA). IEEE, 341–351.
[25]
Sriram Govindan, Di Wang, Anand Sivasubramaniam, and Bhuvan Urgaonkar. 2012. Leveraging stored energy for handling power emergencies in aggressively provisioned datacenters. In Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems. 75–86.
[26]
GRC. [n. d.]. Dealing with the density dilemma. https://www.grcooling.com/wp-content/uploads/2018/12/grc-white-paper-the-density-dilemma.pdf
[27]
GRC. [n. d.]. GRC product specification. https://www.grcooling.com/wp-content/uploads/2018/06/GRC_Data_Sheet_ICEraQ_Quad-Duo-One.pdf
[28]
GRC. [n. d.]. ICEraQ (immersion cooling system). https://www.grcooling.com/iceraq/
[29]
GRC. [n. d.]. TCO Calculator of immersion cooling systems. https://www.grcooling.com/grc-tco-calculator
[30]
GRC. [n. d.]. United States Air force: Modular Data Center. https://www.grcooling.com/wp-content/uploads/2018/09/grc-usaf-containerized-data-center-case-study.pdf
[31]
B. Grot, D. Hardy, P. Lotfi-Kamran, B. Falsafi, C. Nicopoulos, and Y. Sazeides. 2012. Optimizing Data-Center TCO with Scale-Out Processors. IEEE Micro 32, 5 (2012), 52–63.
[32]
Kawsar Haghshenas, Brian Setz, Yannis Blosch, and Marco Aiello. 2023. Enough hot air: the role of immersion cooling. Energy Informatics 6, 1 (2023), 14.
[33]
W. H. Henkels, D. . Wen, R. L. Mohler, R. L. Franch, T. J. Bucelot, C. W. Long, J. A. Bracchitta, W. J. Cote, G. B. Bronner, Y. Taur, and R. H. Dennard. 1991. A 4-Mb low-temperature DRAM. IEEE Journal of Solid-State Circuits 26, 11 (1991), 1519–1529.
[34]
Yaoru Hou, We Ge, Yanan Guo, Lirida Naviner, You Wang, Bo Liu, Jun Yang, and Hao Cai. 2021. Cryogenic In-MRAM Computing. In 2021 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). IEEE, 1–6.
[35]
Chang-Hong Hsu, Yunqi Zhang, Michael A Laurenzano, David Meisner, Thomas Wenisch, Jason Mars, Lingjia Tang, and Ronald G Dreslinski. 2015. Adrenaline: Pinpointing and reining in tail queries with quick voltage boosting. In 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). IEEE, 271–282.
[36]
Chenming Hu. 2010. Modern semiconductor devices for integrated circuits. Vol.  2. Prentice Hall Upper Saddle River, New Jersey.
[37]
Yukikazu Iwasa. 2009. Case studies in superconducting magnets: design and operational issues. Springer Science & Business Media.
[38]
S Jagannathan, Z Diggins, N Mahatme, TD Loveless, BL Bhuva, SJ Wen, R Wong, and LW Massengill. 2012. Temperature dependence of soft error rate in flip-flop designs. In 2012 IEEE International Reliability Physics Symposium (IRPS). IEEE, SE–2.
[39]
Majid Jalili, Ioannis Manousakis, Íñigo Goiri, Pulkit A Misra, Ashish Raniwala, Husam Alissa, Bharath Ramakrishnan, Phillip Tuma, Christian Belady, Marcus Fontoura, et al. 2021. Cost-efficient overclocking in immersion-cooled datacenters. In 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). IEEE, 623–636.
[40]
Vijay Janapa Reddi, Benjamin C Lee, Trishul Chilimbi, and Kushagra Vaid. 2010. Web search using mobile cores: quantifying and mitigating the price of efficiency. ACM SIGARCH Computer Architecture News 38, 3 (2010), 314–325.
[41]
Zhen Jia, Jianfeng Zhan, Lei Wang, Chunjie Luo, Wanling Gao, Yi Jin, Rui Han, and Lixin Zhang. 2016. Understanding big data analytics workloads on modern processors. IEEE Transactions on Parallel and Distributed Systems 28, 6 (2016), 1797–1810.
[42]
Norman P. Jouppi, Cliff Young, Nishant Patil, David Patterson, Gaurav Agrawal, Raminder Bajwa, Sarah Bates, Suresh Bhatia, Nan Boden, Al Borchers, Rick Boyle, Pierre-luc Cantin, Clifford Chao, Chris Clark, Jeremy Coriell, Mike Daley, Matt Dau, Jeffrey Dean, Ben Gelb, Tara Vazir Ghaemmaghami, Rajendra Gottipati, William Gulland, Robert Hagmann, C. Richard Ho, Doug Hogberg, John Hu, Robert Hundt, Dan Hurt, Julian Ibarz, Aaron Jaffey, Alek Jaworski, Alexander Kaplan, Harshit Khaitan, Daniel Killebrew, Andy Koch, Naveen Kumar, Steve Lacy, James Laudon, James Law, Diemthu Le, Chris Leary, Zhuyuan Liu, Kyle Lucke, Alan Lundin, Gordon MacKean, Adriana Maggiore, Maire Mahony, Kieran Miller, Rahul Nagarajan, Ravi Narayanaswami, Ray Ni, Kathy Nix, Thomas Norrie, Mark Omernick, Narayana Penukonda, Andy Phelps, Jonathan Ross, Matt Ross, Amir Salek, Emad Samadiani, Chris Severn, Gregory Sizikov, Matthew Snelham, Jed Souter, Dan Steinberg, Andy Swing, Mercedes Tan, Gregory Thorson, Bo Tian, Horia Toma, Erick Tuttle, Vijay Vasudevan, Richard Walter, Walter Wang, Eric Wilcox, and Doe Hyun Yoon. 2017. In-Datacenter Performance Analysis of a Tensor Processing Unit. In Proceedings of the 44th Annual International Symposium on Computer Architecture (Toronto, ON, Canada) (ISCA ’17). Association for Computing Machinery, New York, NY, USA, 1–12. https://doi.org/10.1145/3079856.3080246
[43]
Nam Sung Kim, Todd Austin, David Baauw, Trevor Mudge, Krisztián Flautner, Jie S Hu, Mary Jane Irwin, Mahmut Kandemir, and Vijaykrishnan Narayanan. 2003. Leakage current: Moore’s law meets static power. computer 36, 12 (2003), 68–75.
[44]
Vasileios Kontorinis, Liuyi Eric Zhang, Baris Aksanli, Jack Sampson, Houman Homayoun, Eddie Pettis, Dean M Tullsen, and Tajana Simunic Rosing. 2012. Managing distributed ups energy for effective power capping in data centers. In 2012 39th Annual International Symposium on Computer Architecture (ISCA). IEEE, 488–499.
[45]
Haldun Kufluoglu. 2007. MOSFET degradation due to negative bias temperature instability (NBTI) and hot carrier injection (HCI), and its implications for reliability-aware VLSI design. Ph. D. Dissertation. Citeseer.
[46]
Gyu-hyeon Lee, Dongmoon Min, Ilkwon Byun, and Jangwoo Kim. 2019. Cryogenic computer architecture modeling with memory-side case studies. In Proceedings of the 46th International Symposium on Computer Architecture. 774–787.
[47]
Gyu-Hyeon Lee, Seongmin Na, Ilkwon Byun, Dongmoon Min, and Jangwoo Kim. 2021. CryoGuard: A near refresh-free robust DRAM design for cryogenic computing. In 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). IEEE, 637–650.
[48]
Sheng Li, Kevin Lim, Paolo Faraboschi, Jichuan Chang, Parthasarathy Ranganathan, and Norman P Jouppi. 2011. System-level integrated server architectures for scale-out datacenters. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture. 260–271.
[49]
Kevin Lim, Parthasarathy Ranganathan, Jichuan Chang, Chandrakant Patel, Trevor Mudge, and Steven Reinhardt. 2008. Understanding and designing new server architectures for emerging warehouse-computing environments. ACM SIGARCH Computer Architecture News 36, 3 (2008), 315–326.
[50]
Lneya. [n. d.]. Cryogenic Chiller products of Lneya. https://www.lneya.com/
[51]
David Lo, Liqun Cheng, Rama Govindaraju, Luiz André Barroso, and Christos Kozyrakis. 2014. Towards energy proportionality for large-scale latency-critical workloads. In 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA). IEEE, 301–312.
[52]
William L Luyben. 2017. Estimating refrigeration costs at cryogenic temperatures. Computers & Chemical Engineering 103 (2017), 144–150.
[53]
Krishna T Malladi, Frank A Nothaft, Karthika Periyathambi, Benjamin C Lee, Christos Kozyrakis, and Mark Horowitz. 2012. Towards energy-proportional datacenter memory with mobile DRAM. In 2012 39th Annual International Symposium on Computer Architecture (ISCA). IEEE, 37–48.
[54]
Jason Mars, Lingjia Tang, Robert Hundt, Kevin Skadron, and Mary Lou Soffa. 2011. Bubble-up: Increasing utilization in modern warehouse scale computers via sensible co-locations. In Proceedings of the 44th annual IEEE/ACM International Symposium on Microarchitecture. 248–259.
[55]
MCMASTER. [n. d.]. Price of 132L stainless-steel containers. https://www.mcmaster.com/rectangular-tanks/rectangular-stainless-steel-batch-cans-6/
[56]
M. Mehrpoo, B. Patra, J. Gong, J. P. G. van Dijk, H. Homulle, G. Kiene, A. Vladimirescu, F. Sebastiano, E. Charbon, and M. Babaie. 2019. Benefits and Challenges of Designing Cryogenic CMOS RF Circuits for Quantum Computers. In 2019 IEEE International Symposium on Circuits and Systems (ISCAS). 1–5.
[57]
David Meisner, Brian T Gold, and Thomas F Wenisch. 2009. PowerNap: eliminating server idle power. ACM SIGARCH Computer Architecture News 37, 1 (2009), 205–216.
[58]
Dongmoon Min, Ilkwon Byun, Gyu-Hyeon Lee, Seongmin Na, and Jangwoo Kim. 2020. CryoCache: A Fast, Large, and Cost-Effective Cache Architecture for Cryogenic Computing. In Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems. 449–464.
[59]
Dongmoon Min, Yujin Chung, Ilkwon Byun, Junpyo Kim, and Jangwoo Kim. 2022. CryoWire: wire-driven microarchitecture designs for cryogenic computing. In Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. 903–917.
[60]
Pulkit A Misra, Ioannis Manousakis, Esha Choukse, Majid Jalili, Íñigo Goiri, Ashish Raniwala, Brijesh Warrier, Husam Alissa, Bharath Ramakrishnan, Phillip Tuma, et al. 2022. Overclocking in Immersion-Cooled Datacenters. IEEE Micro 42, 4 (2022), 10–17.
[61]
B. Patra, R. M. Incandela, J. P. G. van Dijk, H. A. R. Homulle, L. Song, M. Shahmohammadi, R. B. Staszewski, A. Vladimirescu, M. Babaie, F. Sebastiano, and E. Charbon. 2018. Cryo-CMOS Circuits and Systems for Quantum Computing Applications. IEEE Journal of Solid-State Circuits 53, 1 (2018), 309–321.
[62]
B. Patra, M. Mehrpoo, A. Ruffino, F. Sebastiano, E. Charbon, and M. Babaie. 2020. Characterization and Analysis of On-Chip Microwave Passive Components at Cryogenic Temperatures. IEEE Journal of the Electron Devices Society 8 (2020), 448–456.
[63]
Mikko Pervilä and Jussi Kangasharju. 2010. Running servers around zero degrees. In Proceedings of the first ACM SIGCOMM workshop on Green networking. 9–14.
[64]
Amer Qouneh, Chao Li, and Tao Li. 2011. A quantitative analysis of cooling power in container-based data centers. In 2011 IEEE International Symposium on Workload Characterization (IISWC). IEEE, 61–71.
[65]
Salonik Resch, Husrev Cilasun, and Ulya R Karpuzcu. 2021. Cryogenic pim: Challenges & opportunities. IEEE Computer Architecture Letters 20, 1 (2021), 74–77.
[66]
Tomoya Sanuki, Yuta Aiba, Hitomi Tanaka, Takashi Maeda, Keiichi Sawa, Fumie Kikushima, and Masayuki Miura. 2021. Cryogenic operation of 3-d flash memory for storage performance improvement and bit cost scaling. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 7, 2(2021), 159–167.
[67]
Fabio Sebastiano, Harald Homulle, Bishnu Patra, Rosario Incandela, Jeroen van Dijk, Lin Song, Masoud Babaie, Andrei Vladimirescu, and Edoardo Charbon. 2017. Cryo-CMOS electronic control for scalable quantum computing. In Proceedings of the 54th Annual Design Automation Conference 2017. 1–6.
[68]
F. Sebastiano, H. A. R. Homulle, J. P. G. van Dijk, R. M. Incandela, B. Patra, M. Mehrpoo, M. Babaie, A. Vladimirescu, and E. Charbon. 2017. Cryogenic CMOS interfaces for quantum devices. In 2017 7th IEEE International Workshop on Advances in Sensors and Interfaces (IWASI). 59–62.
[69]
Jimil M Shah, Richard Eiland, Ashwin Siddarth, and Dereje Agonafer. 2016. Effects of mineral oil immersion cooling on IT equipment reliability and reliability enhancements to data center operations. In 2016 15th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm). IEEE, 316–325.
[70]
Mark Shaw and Husam Alissa. [n. d.]. Liquid Immersion Optimized Servers. https://2020ocpvirtualsummit.sched.com/event/bXR9
[71]
Matt Skach, Manish Arora, Chang-Hong Hsu, Qi Li, Dean Tullsen, Lingjia Tang, and Jason Mars. 2015. Thermal time shifting: Leveraging phase change materials to reduce cooling costs in warehouse-scale computers. In Proceedings of the 42nd Annual International Symposium on Computer Architecture. 439–449.
[72]
Matt Skach, Manish Arora, Dean Tullsen, Lingjia Tang, and Jason Mars. 2018. Virtual melting temperature: managing server load to minimize cooling overhead with phase change materials. In 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). IEEE, 15–28.
[73]
J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers. 2004. The case for lifetime reliability-aware microprocessors. In Proceedings. 31st Annual International Symposium on Computer Architecture, 2004.276–287.
[74]
P. Srinivasan and T. Nigam. 2017. Critical discussion on temperature dependence of BTI in planar and FinFET devices. In 2017 IEEE Electron Devices Technology and Manufacturing Conference (EDTM). 33–35.
[75]
Submer. [n. d.]. Submer homepage. https://submer.com/
[76]
Cisco systems. [n. d.]. Cisco Global Cloud Index 2015-2020. https://www.cisco.com/c/dam/m/en_us/service-provider/ciscoknowledgenetwork/files/622_11_15-16-Cisco_GCI_CKN_2015-2020_AMER_EMEAR_NOV2016.pdf
[77]
Texas Advanced Computing Center (TACC). [n. d.]. TACC’s machine and deep learning systems (MAVERICK2). https://www.tacc.utexas.edu/systems/maverick2
[78]
Hitomi Tanaka, Yuta Aiba, Takashi Maeda, Kensuke Ota, Yusuke Higashi, Keiichi Sawa, Fumie Kikushima, Masayuki Miura, and Tomoya Sanuki. 2022. Toward 7 Bits per Cell: Synergistic Improvement of 3D Flash Memory by Combination of Single-crystal Channel and Cryogenic Operation. In 2022 IEEE International Memory Workshop (IMW). IEEE, 1–4.
[79]
Swamit S Tannu, Douglas M Carmean, and Moinuddin K Qureshi. 2017. Cryogenic-DRAM based memory system for scalable quantum computers: a feasibility study. In Proceedings of the International Symposium on Memory Systems. 189–195.
[80]
Hermanus JM ter Brake and GFM Wiegerinck. 2002. Low-power cryocooler survey. Cryogenics 42, 11 (2002), 705–718.
[81]
TPC. [n. d.]. TPC-C workload. http://www.tpc.org/tpcc
[82]
Georgios Tsiligiannis, Elena I Vătăjelu, Luigi Dilillo, Alberto Bosio, Patrick Girard, Serge Pravossoudovitch, Aida Todri, Arnaud Virazel, Frédéric Wrobel, and Frédéric Saigné. 2013. SRAM soft error rate evaluation under atmospheric neutron radiation and PVT variations. In 2013 IEEE 19th International On-Line Testing Symposium (IOLTS). IEEE, 145–150.
[83]
Cheryl Tulkoff and Chris Boyd. [n. d.]. Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil Cooling. Electronic System Technology Conference & Exhibition, Las Vegas, 2013.
[84]
J.Sampson T.Rosing V. Kontorinis, B.Aksanliand D.Tullsen. [n. d.]. Data Center Total Cost of Ownership Models. http://cseweb.ucsd.edu/~tullsen/DCmodeling.html
[85]
Fiona Wang, Thomas Vogelsang, Brent Haukness, and Stephen C Magee. 2018. DRAM Retention at Cryogenic Temperatures. In 2018 IEEE International Memory Workshop (IMW). IEEE, 1–4.
[86]
W. Wang, V. Reddy, A. T. Krishnan, R. Vattikonda, S. Krishnan, and Y. Cao. 2007. Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology. IEEE Transactions on Device and Materials Reliability 7, 4(2007), 509–517.
[87]
Fred Ware, Liji Gopalakrishnan, Eric Linstadt, Sally A McKee, Thomas Vogelsang, Kenneth L Wright, Craig Hampel, and Gary Bronner. 2017. Do superconducting processors really need cryogenic memories? The case for cold DRAM. In Proceedings of the International Symposium on Memory Systems. 183–188.
[88]
E Wu, J Suñé, W Lai, E Nowak, J McKenna, A Vayshenker, and D Harmon. 2002. Interplay of voltage and temperature acceleration of oxide breakdown for ultra-thin gate oxides. Solid-State Electronics 46, 11 (2002), 1787 – 1798. https://doi.org/10.1016/S0038-1101(02)00151-X
[89]
Hailong Yang, Alex Breslow, Jason Mars, and Lingjia Tang. 2013. Bubble-flux: Precise online qos management for increased utilization in warehouse scale computers. ACM SIGARCH Computer Architecture News 41, 3 (2013), 607–618.
[90]
Wei Zhao and Yu Cao. 2006. New generation of predictive technology model for sub-45 nm early design exploration. IEEE Transactions on Electron Devices 53, 11 (2006), 2816–2823.
[91]
Xinhui Zhu, Weixiang Jiang, Fangming Liu, Qixia Zhang, Li Pan, Qiong Chen, and Ziyang Jia. 2020. Heat to power: thermal energy harvesting and recycling for warm water-cooled datacenters. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA). IEEE, 405–418.
[92]
P. A. ’T Hart, M. Babaie, E. Charbon, A. Vladimirescu, and F. Sebastiano. 2020. Characterization and Modeling of Mismatch in Cryo-CMOS. IEEE Journal of the Electron Devices Society 8 (2020), 263–273.

Index Terms

  1. CoolDC: A Cost-Effective Immersion-Cooled Datacenter with Workload-Aware Temperature Scaling

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Architecture and Code Optimization
      ACM Transactions on Architecture and Code Optimization Just Accepted
      EISSN:1544-3973
      Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Online AM: 14 May 2024
      Accepted: 03 May 2024
      Revised: 12 March 2024
      Received: 24 October 2023

      Check for updates

      Author Tags

      1. Datacenter architecture
      2. low-temperature computing
      3. temperature scaling

      Qualifiers

      • Research-article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • 0
        Total Citations
      • 174
        Total Downloads
      • Downloads (Last 12 months)174
      • Downloads (Last 6 weeks)42
      Reflects downloads up to 30 Aug 2024

      Other Metrics

      Citations

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Full Access

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media