Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article
Free access

Available instruction-level parallelism for superscalar and superpipelined machines

Published: 01 April 1989 Publication History
  • Get Citation Alerts
  • Abstract

    Superscalar machines can issue several instructions per cycle. Superpipelined machines can issue only one instruction per cycle, but they have cycle times shorter than the latency of any functional unit. In this paper these two techniques are shown to be roughly equivalent ways of exploiting instruction-level parallelism. A parameterizable code reorganization and simulation system was developed and used to measure instruction-level parallelism for a series of benchmarks. Results of these simulations in the presence of various compiler optimizations are presented. The average degree of superpipelining metric is introduced. Our simulations suggest that this metric is already high for many machines. These machines already exploit all of the instruction-level parallelism available in many non-numeric applications, even without parallel instruction issue or higher degrees of pipelining.

    References

    [1]
    Acosta, R. D., Kjelstrup, J., and Tomg, H. C. "An Instruction Issuing Approach to Enhancing Performance in Multiple Functional Unit Processors." IEEE Transactions on Computers C-35, 9 (September 1986), 815-828.
    [2]
    Aho, Alfred V., Sethi, Ravi, and Ullman, Jeffrey D. Compilers'Principles, Techniques, and Tools. Addison- Wesley, 1986.
    [3]
    Allen, Randy, and Kennedy, Ken. "Automatic Translation of FORTRAN Programs to Vector Form." ACM Transactions on Programming Languages and Systems 9, 4 (October 1987), 491-542.
    [4]
    Charlesworth, Alan E. "An Approach to Scientific Array Processing: The Architectural Design of the AP-120B/FPS-164 Family." Computer 14, 9 (September 1981), 18-27.
    [5]
    Ellis, John R. Bulldog: A Compiler for VLIW Architectures. Ph.D. Th., Yale University, 1985.
    [6]
    Foster, Caxton C., and Riseman, Edward M. "Percolation of Code to Enhance Parallel Dispatching and Execution." IEEE Transactions on Computers C-21, 12 (December 1972), 1411-1415.
    [7]
    Gross, Thomas. Code Optimization of Pipeline Constraints. Tech. Rept. 83-255, Stanford University, Computer Systems Lab, December, 1983.
    [8]
    Hennessy, John L., Jouppi, Norman P., Przybylski, Steven, Rowen, Christopher, and Gross, Thomas. Design of a High Performance VLSI Processor. Third Caltech Conference on VLSi, Computer Science Press, March, 1983, pp. 33-54.
    [9]
    Jouppi, Norman P., Dion, Jeremy, Boggs, David, and Nielsen, Michael j. K. MultiTitan: Four Architecture Papers. Tech. Rept. 87/8, Digital Equipment Corporation Westem Research Lab, April, 1988.
    [10]
    Katevenis, Manolis G. H. Reduced Instruction Set Architectures for VLSI. Tech. Rept. UCB/CSD 83/141, University of California, Berkeley, Computer Science Division of EECS, October, 1983.
    [11]
    Lam, Monica. Software Pipelining: An Effective Scheduling Technique for VLIW Machines. SIGPLAN '88 Conference on Programming Language Design and Implementation, June, 1988, pp. 318-328.
    [12]
    Nicolau, Alexandm, and Fisher, Joseph A. "Measuring the Parallelism Available for Very Long Instruction Word Architectures." IEEE Transactions on Computers C-33, 11 (November 1984), 968-976.
    [13]
    Nielsen, Michael J. K. Titan System Manual. Tech. Rept. 86/1, Digital Equipment Corporation Western Research Lab, September, 1986.
    [14]
    Riseman, Edward M., and Foster, Caxton C. "The Inhibition of Potential Parallelism by Conditional Jumps." IEEE Transactions on Computers C-21, 12 (December 1972), 1405-1411.
    [15]
    Tjaden, Garold S., and Flynn, Michael J. "Detection and Parallel Execution of Independent Instructions." IEEE Transactions on Computers C-19, I0 (October 1970), 889-895.
    [16]
    Wall, David W. Global Register Allocation at Link- Time. SIGPLAN '86 Conference on Compiler Construction, June, 1986, pp. 264-275.
    [17]
    Wall, David W., and Powell, Michael L. The Mahler Experience: Using an Intermediate Language as the Machine Description. Second International Conference on Architectural Support for Programming Languages and Operating Systems, IEEE Computer Society Press, October, 1987, pp. 100-104.

    Cited By

    View all
    • (2023)Domain-Specific Architectures: Research Problems and Promising ApproachesACM Transactions on Embedded Computing Systems10.1145/356394622:2(1-26)Online publication date: 24-Jan-2023
    • (2023)Survey and Comparison of Pipeline of Some RISC and CISC System Architectures2023 8th International Conference on Computer and Communication Systems (ICCCS)10.1109/ICCCS57501.2023.10150975(785-790)Online publication date: 21-Apr-2023
    • (2023)AEx: Automated High-Level Synthesis of Compiler Programmable Co-ProcessorsJournal of Signal Processing Systems10.1007/s11265-023-01841-395:9(1051-1065)Online publication date: 15-Feb-2023
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM SIGARCH Computer Architecture News
    ACM SIGARCH Computer Architecture News  Volume 17, Issue 2
    Special issue: Proceedings of ASPLOS-III: the third international conference on architecture support for programming languages and operating systems
    April 1989
    291 pages
    ISSN:0163-5964
    DOI:10.1145/68182
    Issue’s Table of Contents
    • cover image ACM Conferences
      ASPLOS III: Proceedings of the third international conference on Architectural support for programming languages and operating systems
      April 1989
      303 pages
      ISBN:0897913000
      DOI:10.1145/70082
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 April 1989
    Published in SIGARCH Volume 17, Issue 2

    Check for updates

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)362
    • Downloads (Last 6 weeks)24

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Domain-Specific Architectures: Research Problems and Promising ApproachesACM Transactions on Embedded Computing Systems10.1145/356394622:2(1-26)Online publication date: 24-Jan-2023
    • (2023)Survey and Comparison of Pipeline of Some RISC and CISC System Architectures2023 8th International Conference on Computer and Communication Systems (ICCCS)10.1109/ICCCS57501.2023.10150975(785-790)Online publication date: 21-Apr-2023
    • (2023)AEx: Automated High-Level Synthesis of Compiler Programmable Co-ProcessorsJournal of Signal Processing Systems10.1007/s11265-023-01841-395:9(1051-1065)Online publication date: 15-Feb-2023
    • (2022)Mercury: Instruction Pipeline Aware Code Generation for Simulink ModelsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319996741:11(4504-4515)Online publication date: 1-Nov-2022
    • (2022)Exploring Efficient Microservice Level Parallelism2022 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS53621.2022.00030(223-233)Online publication date: May-2022
    • (2022)Analysis and Optimization of the Branch Prediction Unit of SweRV EH12022 IEEE 16th International Conference on Anti-counterfeiting, Security, and Identification (ASID)10.1109/ASID56930.2022.9996038(5-9)Online publication date: 2-Dec-2022
    • (2022)Modeling of Processor Datapaths with VLIW Architecture at the System LevelHigh-Performance Computing Systems and Technologies in Scientific Research, Automation of Control and Production10.1007/978-3-030-94141-3_1(3-12)Online publication date: 17-Jan-2022
    • (2020)A RISC-V Processor Design for Transparent TracingElectronics10.3390/electronics91118739:11(1873)Online publication date: 7-Nov-2020
    • (2016)Detecting Kernels Suitable for C-Based High-Level Hardware Synthesis2016 Intl IEEE Conferences on Ubiquitous Intelligence & Computing, Advanced and Trusted Computing, Scalable Computing and Communications, Cloud and Big Data Computing, Internet of People, and Smart World Congress (UIC/ATC/ScalCom/CBDCom/IoP/SmartWorld)10.1109/UIC-ATC-ScalCom-CBDCom-IoP-SmartWorld.2016.0178(1157-1164)Online publication date: Jul-2016
    • (2009)Exploiting ILP, TLP, and DLP to Improve Multi-Core Performance of One-Sided Jacobi SVDParallel Processing Letters10.1142/S012962640900026219:02(355-375)Online publication date: Jun-2009
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media