Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/859618.859627acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article

Banked multiported register files for high-frequency superscalar microprocessors

Published: 01 May 2003 Publication History
  • Get Citation Alerts
  • Abstract

    Multiported register files are a critical component of high-performance superscalar microprocessors. Conventional multiported structures can consume significant power and die area. We examine the designs of banked multiported register files that employ multiple interleaved banks of fewer ported register cells to reduce power and area. Banked register files designs have been shown to provide sufficient bandwidth for a superscalar machine, but previous designs had complex control structures that would likely limit cycle time and add to design complexity. We develop a banked register file with much simpler and faster control logic while only slightly increasing the number of ports per bank. We present area, delay, and energy numbers extracted from layouts of the banked register file. For a four-issue superscalar processor, we show that we can reduce area by a factor of three, access time by 20%, and energy by 40%, while decreasing IPC by less than 5%.

    References

    [1]
    A. Alvandpour, R. Krishnamurthy, K. Soumyanath, and S. Borkar. A low-leakage dynamic multi-ported register file in 0.13 μm CMOS. In ISLPED, pages 68--71, 2001.
    [2]
    R. Balasubramonian, S. Dwarkadas, and D. H. Albonesi. Reducing the complexity of the register file in dynamic superscalar processors. In MICRO-34, December 2001.
    [3]
    E. Borch, E. Tune, S. Manne, and J. S. Emer. Loose loops sink chips. In HPCA, pages 299--310, Boston, MA, February 2002.
    [4]
    D. Burger and T. Austin. The Simplescalar Toolset, version 2.0. Technical report, University of Wiscosin-Madison, New York, June 1997.
    [5]
    Unisys Corporation. Scientific processor vector file organization. U. S. Patent 4,875,161, October 1989.
    [6]
    J.-L. Cruz, A. Gonzalez, M. Valero, and N. P. Topham. Multiple-banked register file architectures. In ISCA-27, pages 316--325, 2000.
    [7]
    DEC. Vector register system for executing plural read/write commands concurrently and independently routing data to plural read/write ports. U. S. Patent 4,980,817, December 1990.
    [8]
    Keith I. Farkas, Paul Chow, Norman P. Jouppi, and Zvonko G. Vranesic. The Multicluster architecture: Reducing cycle time through partitioning. In MICRO-30, pages 149--159, 1997.
    [9]
    E. S. Fetzer, M. Gibson, A. Klein, N. Calick, C. Zhu, E. Busta, and B. Mohammad. A fully-bypassed 6-issue integer datapath and register file on an Itanium microprocessor. IEEE Journal Solid-State Circuits, 37(11):1433--1440, November 2002.
    [10]
    J. A. Fisher. Very long instruction word architectures and the ELI-512. In ISCA-10, pages 140--150, 1983.
    [11]
    R. E. Kessler. The Alpha 21264 microprocessor. IEEE Micro, 19(2):24--36, March/April 1999.
    [12]
    S. Palacharla, N. Jouppi, and J. E. Smith. Complexity-effective superscalar processors. In ISCA-24, pages 206--218, June 1997.
    [13]
    I. Park, M. D. Powell, and T. N. Vijaykumar. Reducing register ports for higher speed and lower energy. In MICRO-35, Istanbul, Turkey, November 2002.
    [14]
    R. P. Preston et al. Design of an 8-wide superscalar RISC microprocessor with simultaneous multithreading. In ISSCC Digest and Visuals Supplement, February 2002.
    [15]
    S. Sair and M. Charney. Memory behavior of the SPEC2000 benchmark suite. Technical report, IBM Research Report, Yorktown Heights, New York, October 2000.
    [16]
    A. Seznec, E. Toullec, and O. Rochecouste. Register write specialization register read specialization: A path to complexity-effective wide-issue superscalar processors. In MICRO-35, Istanbul, Turkey, November 2002.
    [17]
    G. S. Sohi, S. Breach, and T. N. Vijaykumar. Multiscalar processors. In ISCA-22, 1995.
    [18]
    M. Tremblay, B. Joy, and K. Shin. A three dimensional register file for superscalar processors. In HICSS, January 1995.
    [19]
    J. Tseng and K. Asanović. Energy-efficient register access. In Proc. of the 13th Symposium on Integrated Circuits and Systems Design, Manaus, Brazil, September 2000.
    [20]
    S. Wallace and N. Bagherzadeh. A scalable register file architecture for dynamically scheduled processors. In Proc. PACT, October 1996.
    [21]
    D. L. Weaver and T. Germond. "The SPARC Architecture Manual/Version 9". Prentice Hall, February 1994.
    [22]
    V. Zyuban and P. Kogge. The energy complexity of register files. In Proceedings 1998 International Symposium on Low Power Electronics and Design, pages 305--310, August 1998.
    [23]
    V. V. Zyuban and P. M. Kogge. Inherently lower-power high-performance superscalar architectures. IEEE Trans. on Computers, 50(3):268--285, March 2001.

    Cited By

    View all
    • (2024)Multi-Ported GC-eDRAM Bitcell with Dynamic Port Configuration and Refresh MechanismJournal of Low Power Electronics and Applications10.3390/jlpea1401000214:1(2)Online publication date: 4-Jan-2024
    • (2022)HAIR: Halving the Area of the Integer Register File with Odd/Even BankingACM Transactions on Architecture and Code Optimization10.1145/354483819:4(1-25)Online publication date: 16-Sep-2022
    • (2022)Selective register-file cache: an energy saving technique for embedded processor architectureDesign Automation for Embedded Systems10.1007/s10617-022-09264-226:2(105-124)Online publication date: 29-May-2022
    • Show More Cited By
    1. Banked multiported register files for high-frequency superscalar microprocessors

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISCA '03: Proceedings of the 30th annual international symposium on Computer architecture
      June 2003
      432 pages
      ISBN:0769519458
      DOI:10.1145/859618
      • Conference Chair:
      • Allan Gottlieb,
      • Program Chair:
      • Kai Li
      • cover image ACM SIGARCH Computer Architecture News
        ACM SIGARCH Computer Architecture News  Volume 31, Issue 2
        ISCA 2003
        May 2003
        422 pages
        ISSN:0163-5964
        DOI:10.1145/871656
        Issue’s Table of Contents

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 01 May 2003

      Permissions

      Request permissions for this article.

      Check for updates

      Qualifiers

      • Article

      Conference

      ISCA03
      Sponsor:
      ISCA03: International Symposium on Computer Architecture
      June 9 - 11, 2003
      California, San Diego

      Acceptance Rates

      ISCA '03 Paper Acceptance Rate 36 of 184 submissions, 20%;
      Overall Acceptance Rate 543 of 3,203 submissions, 17%

      Upcoming Conference

      ISCA '25

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)32
      • Downloads (Last 6 weeks)2

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Multi-Ported GC-eDRAM Bitcell with Dynamic Port Configuration and Refresh MechanismJournal of Low Power Electronics and Applications10.3390/jlpea1401000214:1(2)Online publication date: 4-Jan-2024
      • (2022)HAIR: Halving the Area of the Integer Register File with Odd/Even BankingACM Transactions on Architecture and Code Optimization10.1145/354483819:4(1-25)Online publication date: 16-Sep-2022
      • (2022)Selective register-file cache: an energy saving technique for embedded processor architectureDesign Automation for Embedded Systems10.1007/s10617-022-09264-226:2(105-124)Online publication date: 29-May-2022
      • (2021)Multiport Register File Design for High-Performance Embedded Cores2021 IEEE 14th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC)10.1109/MCSoC51149.2021.00048(281-286)Online publication date: Dec-2021
      • (2017)Skewed Multistaged Multibanked Register File for Area and Energy EfficiencyIEICE Transactions on Information and Systems10.1587/transinf.2016EDP7414E100.D:4(822-837)Online publication date: 2017
      • (2017)The CUREACM Transactions on Embedded Computing Systems10.1145/312652716:5s(1-19)Online publication date: 27-Sep-2017
      • (2017)Efficient pulsed-latch implementation for multiport register filesProceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems Companion10.1145/3125501.3125515(1-2)Online publication date: 15-Oct-2017
      • (2017)Bank Stealing for a Compact and Efficient Register File Architecture in GPGPUIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.258462325:2(520-533)Online publication date: 1-Feb-2017
      • (2017)A case for standard-cell based RAMs in highly-ported superscalar processor structures2017 18th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED.2017.7918305(131-137)Online publication date: Mar-2017
      • (2016)Modular Switched Multiported SRAM-Based MemoriesACM Transactions on Reconfigurable Technology and Systems10.1145/28515069:3(1-26)Online publication date: 14-Jul-2016
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media