Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/871506.871572acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Low cost instruction cache designs for tag comparison elimination

Published: 25 August 2003 Publication History
  • Get Citation Alerts
  • Abstract

    Tag comparison elimination (TCE) is an effective approach to reduce I-cache energy. Current research focuses on finding good tradeoffs between hardware cost and percentage of comparisons that can be removed. For this purpose, two low cost innovations are proposed in this paper. We design a small dedicated TCE table whose size is flexible both horizontally (entry size) and vertically (number of entries). The design also minimizes interactions with the I-cache. For a 64-way 16K cache, the new design reduces the tag comparisons to 4.0% with a fraction only 20% of the hardware cost of the way memoization technique [5]. The result is 40% better compared to a recent proposed low cost design [2] of comparable hardware cost.

    References

    [1]
    M. Powell, A. Agarwal, T.N. Vijaykumar, B. Falsafi and K. Roy, "Reducing Set-Associative Cache Energy via Way-Predication and Selective Direct-Mapping," MICRO'34, 2001.
    [2]
    K. Inoue, V.G. Moshnyaga, and K. Murakami, "A History Based I-cache for low-Energy Multimedia Applications," ISLPED'02, pages 148--153, Monterey, CA, 2002.
    [3]
    R. Panwar and D. Rennels, "Reducing the Frequency of Tag Compares for Low Power I-cache Design," ISLPED'95, pages 57--62, 1995.
    [4]
    B. Calder and D. Grunwald, "Next Cache Line and Set Prediction," ISCA-24, 1995.
    [5]
    A. Ma, M. Zhang, and K. Asanovic, "Way Memoization to Reduce Fetch Energy in Instruction Cache," Workshop on Complexity-Effective Design, in conjuction with ISCA-28, June 2001.
    [6]
    D. Burger and T. M. Austin, "The SimpleScalar tool set, version 2.0," TR-CS-1342, University of Wisconsin-Madison, June 1997.
    [7]
    P. Shivakumar and N.P. Jouppi, "CACTI 3.0: An Integrated Cache Timing, Power, and Area Model," TR-WRL-2001-2, Dec 2001.
    [8]
    K.C. Yeager, "The MIPS R10000 superscalar microprocessor," IEEE MICRO, 16(2):28--40, April 1996.
    [9]
    C. Lee, M. Potkonjak and W. H. Mangione-Smith, "MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communications Systems," MICRO, pages 330--335, 1997.
    [10]
    J. Kin, M. Gupta and W.H. Mangione-Smith, "The Filter Cache: An Energy Efficient Memory Structure," MICRO, 1997.
    [11]
    J. Montanaro et al., "A 160Mhz, 32b, 0.5W CMOS RISC microprocessor," JSSC, 31(11):1703--1712, November 1996.

    Cited By

    View all
    • (2017)TLB Index-Based Tagging for Reducing Data Cache and TLB Energy ConsumptionIEEE Transactions on Computers10.1109/TC.2016.264759266:7(1200-1211)Online publication date: 1-Jul-2017
    • (2012)Adopting TLB index-based tagging to data caches for tag energy reductionProceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design10.1145/2333660.2333716(231-236)Online publication date: 30-Jul-2012
    • (2011)TLB index-based tagging for cache energy reductionProceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design10.5555/2016802.2016828(85-90)Online publication date: 1-Aug-2011
    • Show More Cited By

    Index Terms

    1. Low cost instruction cache designs for tag comparison elimination

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '03: Proceedings of the 2003 international symposium on Low power electronics and design
      August 2003
      502 pages
      ISBN:158113682X
      DOI:10.1145/871506
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 25 August 2003

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. low-power instruction cache
      2. tag comparison elimination

      Qualifiers

      • Article

      Conference

      ISLPED03
      Sponsor:

      Acceptance Rates

      ISLPED '03 Paper Acceptance Rate 90 of 221 submissions, 41%;
      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Upcoming Conference

      ISLPED '24

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)1
      • Downloads (Last 6 weeks)0

      Other Metrics

      Citations

      Cited By

      View all
      • (2017)TLB Index-Based Tagging for Reducing Data Cache and TLB Energy ConsumptionIEEE Transactions on Computers10.1109/TC.2016.264759266:7(1200-1211)Online publication date: 1-Jul-2017
      • (2012)Adopting TLB index-based tagging to data caches for tag energy reductionProceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design10.1145/2333660.2333716(231-236)Online publication date: 30-Jul-2012
      • (2011)TLB index-based tagging for cache energy reductionProceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design10.5555/2016802.2016828(85-90)Online publication date: 1-Aug-2011
      • (2010)Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded systemProceedings of the 20th symposium on Great lakes symposium on VLSI10.1145/1785481.1785542(257-262)Online publication date: 16-May-2010
      • (2010)Compiler-assisted leakage-aware loop scheduling for embedded VLIW DSP processorsJournal of Systems and Software10.1016/j.jss.2009.11.72783:5(772-785)Online publication date: 1-May-2010
      • (2007)Reducing cache energy consumption by tag encoding in embedded processorsProceedings of the 2007 international symposium on Low power electronics and design10.1145/1283780.1283860(367-370)Online publication date: 27-Aug-2007
      • (2007)Real-Time Loop Scheduling with Leakage Energy Minimization for Embedded VLIW DSP ProcessorsProceedings of the 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications10.1109/RTCSA.2007.60(12-19)Online publication date: 21-Aug-2007
      • (2004)Tag skipping technique using WTS buffer for optimal low power cache designRecords of the 2004 International Workshop on Memory Technology, Design and Testing, 2004.10.1109/MTDT.2004.1327978(13-18)Online publication date: 2004

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media