Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/951710.951730acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Automatic generation of application specific processors

Published: 30 October 2003 Publication History

Abstract

An application-specific instruction-set processor (ASIP) is ideally suited for embedded applications that have demanding performance, size, and power requirements that cannot be satisfied by a general purpose processor. ASIPs also have time-to-market and programmability advantages when compared to custom ASICs. The AutoTIE system simplifies the creation of ASIPs by automatically enhancing a base processor with application specific instruction set architecture (ISA) extensions, including instructions, operations, and register files. The new instructions, operations, and register files are automatically recognized and exploited by the entire software tool chain, including the C/C++ compiler. Thus, taking advantage of the generated ASIP does not require any changes to the application or any assembly language coding. AutoTIE uses the C/C++ compiler to analyze an application, and based on the analysis generates thousands, or even millions, of possible ISA extensions for the application. AutoTIE then uses performance and hardware estimation techniques to combine the ISA extensions into a large number of potential ASIPs, and for a range of hardware costs, chooses the ASIP that provides the maximum performance improvement. For example, for an application performing a radix-4 FFT, AutoTIE considers over 34,000 potential sets of ISA extensions. For hardware costs ranging from 7800 gates to 128,000 gates, AutoTIE combines these extensions to form 31 ASIPs, which provide performance improvements ranging from a factor of 1.12 to a factor of 11.3 compared to a general-purpose processor.

References

[1]
John L. Hennessey, David~A. Patterson, and David Goldberg. Computer Architecture, A Quantitative Approach. Morgan Kaufmann, 2002.
[2]
Ricardo E. Gonzalez. Xtensa --- A configurable and extensible processor. IEEE Micro, 20(2):60--70, March\April 2000. Presented at Hot Chips 11 Conference, Stanford University, Stanford, California, August 15--17, 1999.
[3]
Monica Lam. Software pipelining: An effective scheduling technique for VLIW machines. In SIGPLAN '88 Conference on Programming Language Design and Implementation, pages 318--328, 1988.
[4]
Shail Aditya, B. Ramakrishna Rau, and Vinod Kathail. Automatic architectural synthesis of VLIW and EPIC processors. In International Symposium on System Synthesis, pages 107--113, November 1999.
[5]
Michael Wolfe. Optimizing Supercompilers for Supercomputers. MIT Press, Cambridge, MA, 1989.
[6]
Hans P. Zima and Barbara Chapman. Supercompilers for Parallel and Vector Computers. Addison-Wesley (ACM), 1990.
[7]
Xtensa Instruction Set Architecture Reference Manual. Tensilica, Inc., Santa Clara, CA, 2002.
[8]
Armita Peymandoust, Laura Pozzi, Paolo Ienne, and Giovanni De Micheli. Automatic instruction-set extension and utilization for embedded processors. In 14th International Conference on Application-specific Systems, Architectures and Processors, June 2003.
[9]
B. Kastrup, A. Bink, and J. Hoogerbrugge. Concise: A compiler-driven cpld-based instruction set accelerator. In 5th IEEE Symposium on Field- Programmable Custom Computing Machines, April 1999.
[10]
Kubilay Atasu, Laura Pozzi, and Paolo Ienne. Automatic application-specific instruction-set extensions under microarchitectural constraints. In Design Automation Conference 2003, pages 256--261, Anaheim, Ca, USA, 2003. ACM Press.

Cited By

View all
  • (2022)Blocks: Challenging SIMDs and VLIWs With a Reconfigurable ArchitectureIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312054141:9(2915-2928)Online publication date: Sep-2022
  • (2022)Architecture Description LanguagesHandbook of Computer Architecture10.1007/978-981-15-6401-7_18-1(1-34)Online publication date: 25-Dec-2022
  • (2020)FINDER: Find Efficient Parallel Instructions for ASIPs to Improve Performance of Large ApplicationsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.3012211(1-1)Online publication date: 2020
  • Show More Cited By

Index Terms

  1. Automatic generation of application specific processors

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CASES '03: Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems
    October 2003
    340 pages
    ISBN:1581136765
    DOI:10.1145/951710
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 30 October 2003

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. ASIPs
    2. automatic instruction-set generation
    3. configurable processors
    4. extensible processors

    Qualifiers

    • Article

    Conference

    CASES03
    Sponsor:

    Acceptance Rates

    CASES '03 Paper Acceptance Rate 31 of 162 submissions, 19%;
    Overall Acceptance Rate 52 of 230 submissions, 23%

    Upcoming Conference

    ESWEEK '24
    Twentieth Embedded Systems Week
    September 29 - October 4, 2024
    Raleigh , NC , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)11
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 12 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Blocks: Challenging SIMDs and VLIWs With a Reconfigurable ArchitectureIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312054141:9(2915-2928)Online publication date: Sep-2022
    • (2022)Architecture Description LanguagesHandbook of Computer Architecture10.1007/978-981-15-6401-7_18-1(1-34)Online publication date: 25-Dec-2022
    • (2020)FINDER: Find Efficient Parallel Instructions for ASIPs to Improve Performance of Large ApplicationsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.3012211(1-1)Online publication date: 2020
    • (2020)TTADF: Power Efficient Dataflow-Based Multicore Co-Design FlowIEEE Transactions on Computers10.1109/TC.2019.293786769:1(51-64)Online publication date: 1-Jan-2020
    • (2019)Compiler-Based High-Level Synthesis of Application-Specific Processors on FPGAs2019 International Conference on ReConFigurable Computing and FPGAs (ReConFig)10.1109/ReConFig48160.2019.8994778(1-8)Online publication date: Dec-2019
    • (2018)Designing Domain-Specific Heterogeneous Architectures from Dataflow ProgramsComputers10.3390/computers70200277:2(27)Online publication date: 22-Apr-2018
    • (2015)Compiler-Centred Microprocessor Design (CoMet) - From C-Code to a VHDL Model of an ASIPProceedings of the 2015 IEEE 18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems10.1109/DDECS.2015.15(17-22)Online publication date: 22-Apr-2015
    • (2015)System-level synthesis of multi-ASIP platforms using an uncertainty modelIntegration, the VLSI Journal10.1016/j.vlsi.2015.07.00651:C(118-138)Online publication date: 1-Sep-2015
    • (2014)Retargetable automatic generation of compound instructions for CGRA based reconfigurable processor applicationsProceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.1145/2656106.2656125(1-9)Online publication date: 12-Oct-2014
    • (2014)Automatic custom instruction identification in memory streaming algorithmsProceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.1145/2656106.2656114(1-9)Online publication date: 12-Oct-2014
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media