Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article
Free access

Applying partial power-gating to direction-sliced network-on-chip

Published: 01 January 2015 Publication History

Abstract

Network-on-Chip (NoC) is one of critical communication architectures for futuremany-core systems. As technology is continually scaling down, on-chip network meets the increasing leakage power crisis. As a leakage power mitigation technique, power-gating can be utilized in on-chip network to solve the crisis. However, the network performance is severely affected by the disconnection in the conventional power-gated NoC. In this paper, we propose a novel partial power-gating approach to improve the performance in the power-gated NoC. The approach mainly involves a direction-slicing scheme, an improved routing algorithm, and a deadlock recovery mechanism. In the synthetic traffic simulation, the proposed design shows favorable power-efficiency at low-load range and achieves better performance than the conventional power-gated one. For the application trace simulation, the design in the mesh/torus network consumes 15.2%/18.9% more power on average, whereas it can averagely obtain 45.0%/28.7% performance improvement compared with the conventional power-gated design. On balance, the proposed design with partial power-gating has a better tradeoff between performance and power-efficiency.

References

[1]
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar, "A 5- GHz mesh interconnect for a teraflops processor," IEEE Micro, vol. 27, no. 5, pp. 51-61, 2007.
[2]
B. K. Daya, C.-H. O. Chen, S. Subramanian et al., "SCORPIO: a 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering," in Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture (ISCA'14), pp. 25-36, ACM, June 2014.
[3]
A. Samih, R. Wang, A. Krishna, C. Maciocco, C. Tai, and Y. Solihin, "Energy-efficient interconnect via router parking," in Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture (HPCA'13), pp. 508-519, IEEE, February 2013.
[4]
P. Bogdan, M. Kas, R. Marculescu, and O. Mutlu, "QuaLe: a quantum-leap inspired model for non-stationary analysis of NoC traffic in chip multi-processors," in Proceedings of the 4th ACM/IEEE International Symposium on Networks on Chip (NOCS '10), pp. 241-248, IEEE, Grenoble, France, May 2010.
[5]
P. Bogdan and R. Marculescu, "Non-stationary traffic analysis and its implications onmulticore platformdesign," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 4, pp. 508-519, 2011.
[6]
M. Donno, A. Ivaldi, L. Benini, and E. Macii, "Clock-tree power optimization based on RTL clock-gating," in Proceedings of the 40th Design Automation Conference, pp. 622-627, IEEE, June 2003.
[7]
R. Mullins, "Minimising dynamic power consumption in onchip networks," in Proceedings of the International Symposium on System-on-Chip, pp. 1-4, IEEE, November 2006.
[8]
A. Pullini, F. Angiolini, P. Meloni et al., "NoC design and implementation in 65 nm technology," in Proceedings of the First International Symposium on Networks-on-Chip (NOCS'07), pp. 273-282, May 2007.
[9]
C. Feng, Z. Lu, A. Jantsch, and M. Zhang, "A 1-cycle 1.25GHz bufferless router for 3d network-on-chip," IEICE Transactions on Information and Systems, vol. 95, no. 5, pp. 1519-1522, 2012.
[10]
M. R. Casu, M. K. Yadav, and M. Zamboni, "Power-gating technique for network-on-chip buffers," Electronics Letters, vol. 49, no. 23, pp. 1438-1440, 2013.
[11]
H. Matsutani, M. Koibuchi, D. Ikebuchi, K. Usami, H. Nakamura, and H. Amano, "Performance, area, and power evaluations of ultrafine-grained run-time power-gating routers for CMPs," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 4, pp. 520-533, 2011.
[12]
L. Chen and T. M. Pinkston, "NoRD: node-router decoupling for effective power-gating of on-chip routers," in Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'12), pp. 270-281, IEEE Computer Society, Vancouver, Canada, December 2012.
[13]
R. Das, S. Narayanasamy, S. K. Satpathy, and R. G. Dreslinski, "Catnap: energy proportional multiple network-on-chip," in Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA'13), pp. 320-331, ACM, Tel-Aviv, Israel, June 2013.
[14]
L. Chen, L. Zhao, R. Wang, and T. M. Pinkston, "MP3: Minimizing performance penalty for power-gating of Clos network-on-chip," in Proceedings of the 20th IEEE International Symposium onHigh Performance Computer Architecture, pp. 296-307, IEEE, February 2014.
[15]
W. J. Dally and B. P. Towles, Principles and Practices of Interconnection Networks, The Morgan Kaufmann Series in Computer Architecture and Design, Elsevier, 2004.
[16]
Z. Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson, and P. Bose, "Microarchitectural techniques for power gating of execution units," in Proceedings of the 2004 International Symposium on Lower Power Electronics and Design (ISLPED '04), pp. 32-37, ACM, August 2004.
[17]
H. Jiang, M. Marek-Sadowska, and S. R. Nassif, "Benefits and costs of power-gating technique," in Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD'05), pp. 559-566, IEEE, October 2005.
[18]
Q. Wu, M. Pedram, and X. Wu, "Clock-gating andits application to low power design of sequential circuits," IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol. 47, no. 3, pp. 415-420, 2000.
[19]
S. Ma, N. E. Jerger, and Z. Wang, "Whole packet forwarding: Efficient design of fully adaptive routing algorithms for networks-on-chip," in Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, pp. 1- 12, IEEE, February 2012.
[20]
M. Shin and J. Kim, "Leveraging torus topology with deadlock recovery for cost-efficient on-chip network," in Proceedings of the 29th IEEE International Conference on Computer Design (ICCD'11), pp. 25-30, IEEE, Amherst, Mass, USA, November 2011.
[21]
A. Lankes, T. Wild, A. Herkersdorf, S. Sonntag, and H. Reinig, "Comparison of deadlock recovery and avoidance mechanisms to approach message dependent deadlocks in on-chip networks," in Proceedings of the 4th ACM/IEEE International Symposium on Networks on Chip (NOCS'10), pp. 17-24, IEEE, Grenoble, France, May 2010.
[22]
L. Jain, B. Al-Hashimi, M. Gaur, V. Laxmi, and A. Narayanan, "NIRGAM: a simulator for NoC interconnect routing and application modeling," in Proceedings of the Design, Automation and Test in Europe Conference, pp. 16-20, Nice, France, April 2007.
[23]
A. Kahng, B. Li, L.-S. Peh, and K. Samadi, "ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration," in Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE'09), pp. 423- 428, IEEE, Nice, France, April 2009.
[24]
N. Agarwal, T. Krishna, L.-S. Peh, and N. K. Jha, "GARNET: a detailed on-chip network model inside a full-system simulator," in Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS '09), pp. 33-42, IEEE, April 2009.

Cited By

View all
  • (2017)SMARTProceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip10.1145/3130218.3130231(1-8)Online publication date: 19-Oct-2017
  1. Applying partial power-gating to direction-sliced network-on-chip

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image Journal of Electrical and Computer Engineering
      Journal of Electrical and Computer Engineering  Volume 2015, Issue
      January 2015
      658 pages
      ISSN:2090-0147
      EISSN:2090-0155
      Issue’s Table of Contents

      Publisher

      Hindawi Limited

      London, United Kingdom

      Publication History

      Accepted: 26 July 2015
      Revised: 30 June 2015
      Received: 23 March 2015
      Published: 01 January 2015

      Qualifiers

      • Article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)38
      • Downloads (Last 6 weeks)10
      Reflects downloads up to 02 Feb 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2017)SMARTProceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip10.1145/3130218.3130231(1-8)Online publication date: 19-Oct-2017

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Login options

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media