Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/2555729.2555740acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Simultaneously optimizing DRAM cache hit latency and miss rate via novel set mapping policies

Published: 29 September 2013 Publication History

Abstract

Two key parameters that determine the performance of a DRAM cache based multi-core system are DRAM cache hit latency (HL) and DRAM cache miss rate (MR), as they strongly influence the average DRAM cache access latency. Recently proposed DRAM set mapping policies are either optimized for HL or for MR. None of these policies provides a good HL and MR at the same time. This paper presents a novel DRAM set mapping policy that simultaneously targets both parameters with the goal of achieving the best of both to reduce the overall DRAM cache access latency. For a 16-core system, our proposed set mapping policy reduces the average DRAM cache access latency (depends upon HL and MR) compared to state-of-the-art DRAM set mapping policies that are optimized for either HL or MR by 29.3% and 12.1%, respectively.

References

[1]
Standard Performance Evaluation Corporation. http://www.spec.org.
[2]
R. X. Arroyo, R. J. Harrington, S. P. Hartman, and T. Nguyen. IBM POWER7 Systems. IBM Journal of Research and Development, 55(3): 2:1--2:13, 2011.
[3]
B. Black, e. Die-Stacking (3D) Microarchitecture. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 469--479, December 2006.
[4]
Y. Deng and W. Maly. Interconnect Characteristics of 2.5-D System Integration Scheme. In Proceeding of the International Symposium on Physical Desing (ISPD), pages 171--175, April 2001.
[5]
F. Hameed, L. Bauer, and J. Henkel. Adaptive Cache Managment for a Combined SRAM and DRAM Cache Hierarchy for Multi-Cores. In Proceedings of the 15th conference on Design, Automation and Test in Europe (DATE), pages 77--82, March 2013.
[6]
G. Hamerly, E. Perelman, J. Lau, and B. Calder. Simpoint 3.0: Faster and More Flexible Program Analysis. Journal of Instruction Level Parallelism, 7, 2005.
[7]
Yoongu Kim, Michael Papamichael, Onur Mutlu, and Mor Harchol Balter. Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior". In Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 65--76, December 2010.
[8]
Gabriel H. Loh. Extending the Effectiveness of 3D--stacked Dram Caches with an Adaptive Multi-Queue Policy. In IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 174--183, 2009.
[9]
Gabriel H. Loh and Mark D. Hill. Efficiently Enabling Conventional Block Sizes for Very Large Die-stacked DRAM Caches. In IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 454--464, 2011.
[10]
Gabriel H. Loh and Mark D. Hill. Supporting Very Large DRAM Caches with Compound Access Scheduling and Miss-Maps. In IEEE Micro Magazine, Special Issue on Top Picks in Computer Architecture Conferences, 2012.
[11]
Gabriel H. Loh, S. Subramaniam, and Y. Xie. Zesto: A Cycle-Level Simulator for Highly Detailed Microarchitecture Exploration. In Int'l Symposium on Performance Analysis of Systems and Software (ISPASS), 2009.
[12]
M. K. Qureshi and M. K. Loh. Fundamental Latency Trade-offs in Architecting DRAM Caches. In Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2012.
[13]
M. K. Qureshi, D. Thompson, and Y. N. Patt. The V-Way Cache: Demand Based Associativity via Global Replacement. In Proceedings of the 32nd International Symposium on Computer Architecture (ISCA), pages 544--555, June 2005.
[14]
S. Rixner, W. Dally, U. Kapasi, P. Mattson, and J. Owens. Memory Access Scheduling. In Proceedings of the 32nd International Symposium on Computer Architecture (ISCA), pages 128--138, June 2000.
[15]
L. Zhao, R. Iyer, R. Illikkal, and D. Newell. Exploring DRAM Cache Architecture for CMP Server Platforms. In Proceedings of the 25th International Symposium on Computer Design (ICCD), pages 55--62, 2007.

Cited By

View all
  • (2023)CABARRE: Request Response Arbitration for Shared Cache ManagementACM Transactions on Embedded Computing Systems10.1145/360809622:5s(1-24)Online publication date: 31-Oct-2023
  • (2018)NVMain Extension for Multi-Level Cache SystemsProceedings of the Rapido'18 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools10.1145/3180665.3180672(1-6)Online publication date: 22-Jan-2018
  • (2017)Rethinking on-chip DRAM cache for simultaneous performance and energy optimizationProceedings of the Conference on Design, Automation & Test in Europe10.5555/3130379.3130465(362-367)Online publication date: 27-Mar-2017
  • Show More Cited By
  1. Simultaneously optimizing DRAM cache hit latency and miss rate via novel set mapping policies

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CASES '13: Proceedings of the 2013 International Conference on Compilers, Architectures and Synthesis for Embedded Systems
    September 2013
    247 pages
    ISBN:9781479914005

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 29 September 2013

    Check for updates

    Qualifiers

    • Research-article

    Conference

    ESWEEK'13
    ESWEEK'13: Ninth Embedded System Week
    September 29 - October 4, 2013
    Quebec, Montreal, Canada

    Acceptance Rates

    CASES '13 Paper Acceptance Rate 21 of 68 submissions, 31%;
    Overall Acceptance Rate 52 of 230 submissions, 23%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)5
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 15 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)CABARRE: Request Response Arbitration for Shared Cache ManagementACM Transactions on Embedded Computing Systems10.1145/360809622:5s(1-24)Online publication date: 31-Oct-2023
    • (2018)NVMain Extension for Multi-Level Cache SystemsProceedings of the Rapido'18 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools10.1145/3180665.3180672(1-6)Online publication date: 22-Jan-2018
    • (2017)Rethinking on-chip DRAM cache for simultaneous performance and energy optimizationProceedings of the Conference on Design, Automation & Test in Europe10.5555/3130379.3130465(362-367)Online publication date: 27-Mar-2017
    • (2017)Efficient STT-RAM last-level-cache architecture to replace DRAM cacheProceedings of the International Symposium on Memory Systems10.1145/3132402.3132414(141-151)Online publication date: 2-Oct-2017
    • (2017)Micro-Sector CacheACM Transactions on Architecture and Code Optimization10.1145/304668014:1(1-29)Online publication date: 21-Mar-2017
    • (2016)The Case for Associative DRAM CachesProceedings of the Second International Symposium on Memory Systems10.1145/2989081.2989120(211-219)Online publication date: 3-Oct-2016
    • (2016)POSTERProceedings of the 2016 International Conference on Parallel Architectures and Compilation10.1145/2967938.2974060(435-437)Online publication date: 11-Sep-2016
    • (2015)Cooperatively managing dynamic writeback and insertion policies in a last-level DRAM cacheProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755794(187-192)Online publication date: 9-Mar-2015
    • (2015)Towards Workload-Aware Page Cache Replacement Policies for Hybrid MemoriesProceedings of the 2015 International Symposium on Memory Systems10.1145/2818950.2818978(206-219)Online publication date: 5-Oct-2015
    • (2014)Reducing Latency in an SRAM/DRAM Cache Hierarchy via a Novel Tag-Cache ArchitectureProceedings of the 51st Annual Design Automation Conference10.1145/2593069.2593197(1-6)Online publication date: 1-Jun-2014

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media