Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/259794.259846acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article
Free access

Sequential logic optimization by redundancy addition and removal

Published: 07 November 1993 Publication History
First page of PDF

References

[1]
K.-T. Cheng and Luis A. Entrena, "Multi-Level Logic Optimization by Redundancy Addition and Removal," European Conf. on Design Automation (EDAC-93) (Feb. 1993).
[2]
D. Bostick et al, "The Boulder Optimal LogJLc Design System," Proc. Int'l Conf. CAD, pp. 62-65 (Nov. 1987).
[3]
S. MaRk, E. M. Sentovieh, R. K. Brayton, and A. Sangiovanni-Vincentelli, "Retiming and Resynthesis: Optimizing Sequential Networks with Combinational Teclniques," IEEE Trans. on CAD 10(1), pp. "/4-84 (J'anuaJry 1991).
[4]
Y. Matsunaga, M. Fujita, and T. Kakuda, "Multi-level Logic Minimization Across Latch Boundaries," Proc. In,r,'l Conf. CAD, pp. 406-409 (November 1990).
[5]
K.-T. Cheng, "On Removing Redundancy in Sequential Circuits," Proc. 28th Design Automation Conf., pp. 164- 169 (June 1991).
[6]
H. Cho, G. D. Hachtel, and F. Somenzi, "Redundancy Identification and Removal Based on Imp~eit State Enumeration," lnt'l Conf. on Computer Design (ICCD-91), pp. 77-80 (Oct. 1991).
[7]
W. Kunz and D. K. Pradhan, "Reeursive Le, aming: An attractive alternative to the decision tree for test generation in digital circuits," Proc. lnt'l Test Conference, pp. 816- 825 (October 1992).
[8]
T. Kirkland and M. R. Mercer, "A Topological Search Algorithm For ATPG," Proc. 24th Design Automation Conf., pp. 502-508 (June 1987).
[9]
M. Schulz and El Auth, "Advanced Automatic Test Patt~;m Generation and Redundancy Identification Techniques," Proc. Fault Tolerant Computing Symposium, pp. 30-35 (June 1988).
[10]
P. Muth, "A Nine-Valued Circuit Model for Test Generation," IEEE Trans. Computers C-25, pp. 630-636 (June 1976).
[11]
R.K. Brayton, R. RudeU, A. Sangiovanni-Vincentelli, and A. R. Wang, "MIS: Multi-level Interactive Logic Optimization System," IEEE Trans. on CAD CAD-6(6), pp. 1062-1081 (Nov. 1989).
[12]
H. Savoj, H.-Y. Wang, and R. K. Brayton, "Improved Scripts in MIS-II for Logic Minimization of Combinational Circuits," Int't Workshop in Logic Synthesis (April 1990).

Cited By

View all
  • (2009)Sequential logic synthesis using symbolic bi-decompositionProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874970(1458-1463)Online publication date: 20-Apr-2009
  • (2007)How much can logic perturbation help from netlist to final routing for FPGAsProceedings of the 44th annual Design Automation Conference10.1145/1278480.1278707(922-927)Online publication date: 4-Jun-2007
  • (2003)Further improve circuit partitioning using GBAW logic perturbation techniquesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2003.81236911:3(451-460)Online publication date: 1-Jun-2003
  • Show More Cited By
  1. Sequential logic optimization by redundancy addition and removal

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '93: Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
    November 1993
    781 pages
    ISBN:0818644907

    Sponsors

    Publisher

    IEEE Computer Society Press

    Washington, DC, United States

    Publication History

    Published: 07 November 1993

    Check for updates

    Qualifiers

    • Article

    Conference

    ICCAD '93
    Sponsor:
    ICCAD '93: International Conference on Computer Aided Design
    November 7 - 11, 1993
    California, Santa Clara, USA

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Upcoming Conference

    ICCAD '24
    IEEE/ACM International Conference on Computer-Aided Design
    October 27 - 31, 2024
    New York , NY , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)13
    • Downloads (Last 6 weeks)4
    Reflects downloads up to 12 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2009)Sequential logic synthesis using symbolic bi-decompositionProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874970(1458-1463)Online publication date: 20-Apr-2009
    • (2007)How much can logic perturbation help from netlist to final routing for FPGAsProceedings of the 44th annual Design Automation Conference10.1145/1278480.1278707(922-927)Online publication date: 4-Jun-2007
    • (2003)Further improve circuit partitioning using GBAW logic perturbation techniquesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2003.81236911:3(451-460)Online publication date: 1-Jun-2003
    • (2002)SAT with partial clauses and back-leapsProceedings of the 39th annual Design Automation Conference10.1145/513918.514104(743-746)Online publication date: 10-Jun-2002
    • (2001)Sequential SPFDsProceedings of the 2001 IEEE/ACM international conference on Computer-aided design10.5555/603095.603113(84-90)Online publication date: 4-Nov-2001
    • (2001)Generalized reasoning scheme for redundancy addition and removal logic optimizationProceedings of the conference on Design, automation and test in Europe10.5555/367072.367281(391-397)Online publication date: 13-Mar-2001
    • (2001)Improved alternative wiring scheme applying dominator relationshipProceedings of the 2001 Asia and South Pacific Design Automation Conference10.1145/370155.370515(473-478)Online publication date: 30-Jan-2001
    • (2001)Functional extension of structural logic optimization techniquesProceedings of the 2001 Asia and South Pacific Design Automation Conference10.1145/370155.370514(467-472)Online publication date: 30-Jan-2001
    • (2000)Binary and Multi-Valued SPFD-Based Wire Removal in PLA NetworksProceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors10.5555/557517.846911Online publication date: 17-Sep-2000
    • (2000)Boolean satisfiability in electronic design automationProceedings of the 37th Annual Design Automation Conference10.1145/337292.337611(675-680)Online publication date: 1-Jun-2000
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media