Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/3199700.3199767acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

A novel damped-wave framework for macro placement

Published: 13 November 2017 Publication History

Abstract

In this paper, we present a damped-wave constructive macro placement framework which packs big macros to optimize both wirelength and routability simultaneously. Unlike traditional V-shaped and Λ-shaped multilevel frameworks which might lack respective local and global information during processing, our damped-wave framework considers both local and global information by the following two major techniques: (1) macro clustering to improve scalability, and (2) constructive macros declustering to assist a standard-cell placer to obtain better solutions. We also present a macro-grouping cost model to remedy the key drawback of ignoring the mismatches of standard-cell locations between the prototyping and the final standard-cell placement stages in existing three-stage mixed-size placers (containing prototyping, macro placement, and standard cell placement). We further propose the regularity penalty model to guide macros to form an integral, regular region during macro placement, facilitating the succeeding placement of standard cell. Compared with manual placement from industrial and a leading mixed-size placer, experimental results show that our damped-wave multilevel framework and cost models are efficient and effective in reducing half-perimeter wirelength and routed wirelength and overflows. In particular, our work provides a new research direction on effective frameworks for large-scale designs, which readily apply to many optimization problems limited with scalability.

References

[1]
Cadence Inc. http://www.cadence.com/.
[2]
S. N. Adya, S. Chaturvedi, J. A. Roy, D. A. Papa, and I. L. Markov. Unification of partitioning, placement and floorplanning. In Proc. of ICCAD, pages 550--557, 2004.
[3]
T. Chan, J. Cong, and K. Sze. Multilevel generalized force-directed method for circuit placement. In Proc. of ISPD, pages 185--192. ACM, 2005.
[4]
T. F. Chan, J. Cong, J. R. Shinnerl, K. Sze, and M. Xie. mPL6: enhanced multilevel mixed-size placement. In Proc. of ISPD, pages 212--214, 2006.
[5]
Y.-C. Chang, Y.-W. Chang, G.-M. Wu, and S.-W. Wu. B*-Trees: A new representation for non-slicing floorplans. In Proc. of DAC, pages 458--463, 2000.
[6]
H.-C. Chen, Y.-L. Chuang, Y.-W. Chang, and Y.-C. Chang. Constraint graph-based macro placement for modern mixed-size circuit designs. In Proc. of ICCAD, pages 218--223, 2008.
[7]
T.-C. Chen and Y.-W. Chang. Modern floorplanning based on B*-Tree and fast simulated annealing. IEEE TCAD, 25(4):637--650, April 2006.
[8]
T.-C. Chen, Y.-W. Chang, and S.-C. Lin. A new multilevel framework for large-scale interconnect-driven floorplanning. IEEE TCAD, 27(2):286--294, 2008.
[9]
T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang. NTUplace3: An analytical placer for large-scale mixed-size designs with preplaced blocks and density constraints. IEEE TCAD, 27(7):1228--1240, July 2008.
[10]
T.-C. Chen, P.-H. Yuh, Y.-W. Chang, F.-J. Huang, and T.-Y. Liu. MP-trees: A packing-based macro placement algorithm for modern mixed-size designs. IEEE TCAD, 27(9):1621--1634, September 2008.
[11]
Y.-F. Chen, C.-C. Huang, C.-H. Chiou, Y.-W. Chang, and C.-J. Wang. Routability-driven blockage-aware macro placement. In Proc. of DAC, pages 1--6, 2014.
[12]
C.-H. Chiou, C.-H. Chang, S.-T. Chen, and Y.-W. Chang. Circular-contour-based obstacle-aware macro placement. In Proc. of ASPDAC, pages 172--177. IEEE, 2016.
[13]
J. Cong and M. Xie. A robust mixed-size legalization and detailed placement algorithm. IEEE TCAD, 27(8):1349--1362, August 2008.
[14]
M.-K. Hsu and Y.-W. Chang. Unified analytical global placement for large-scale mixed-size circuit designs. IEEE TCAD, 31(9):1366--1378, September 2012.
[15]
M.-C. Kim and I. L. Markov. ComPLx: A competitive primal-dual lagrange optimization for global placement. In Proc. of DAC, pages 747--752, 2012.
[16]
M.-C. Kim, N. Viswanathan, C. J. Alpert, I. L. Markov, and S. Ramji. MAPLE: Multilevel adaptive placement for mixed-size designs. In Proc. of ISPD, pages 193--200, 2012.
[17]
S. Kirkpatrick, C. D. Gelatt, and M. Vecchi. Optimization by simulated annealing. Science, 220(4598):671--680, May 1983.
[18]
H.-C. Lee, Y.-W. Chang, J.-M. Hsu, and H. H. Yang. Multilevel floorplanning/placement for large-scale modules using B*-trees. In Proc. of DAC, pages 812--817. ACM, 2003.
[19]
J. Lu, H. Zhuang, P. Chen, H. Chang, C.-C. Chang, Y.-C. Wong, L. Sha, D. Huang, Y. Luo, C.-C. Teng, and C.-K. Cheng. ePlace-MS: Electrostatics-based placement for mixed-size circuits. IEEE TCAD, 34(5):685--698, January 2015.
[20]
M. D. Moffitt, A. N. Ng, I. L. Markov, and M. E. Pollack. Constraint-driven floorplan repair. In Proc. of DAC, pages 1103--1108, 2006.
[21]
N. Viswanathan, M. Pan, and C. Chu. Fastplace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control. In Proc. of ASPDAC, pages 135--140, 2007.
[22]
J. Z. Yan, N. Viswanathan, and C. Chu. Handling complexities in modern large-scale mixed-size placement. In Proc. of DAC, pages 436--441, 2009.

Cited By

View all
  • (2019)Routability-driven Mixed-size Placement Prototyping Approach Considering Design Hierarchy and Indirect Connectivity Between MacrosProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317901(1-6)Online publication date: 2-Jun-2019

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '17: Proceedings of the 36th International Conference on Computer-Aided Design
November 2017
1077 pages

Sponsors

In-Cooperation

  • IEEE-EDS: Electronic Devices Society

Publisher

IEEE Press

Publication History

Published: 13 November 2017

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD '17
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)7
  • Downloads (Last 6 weeks)0
Reflects downloads up to 26 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2019)Routability-driven Mixed-size Placement Prototyping Approach Considering Design Hierarchy and Indirect Connectivity Between MacrosProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317901(1-6)Online publication date: 2-Jun-2019

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media