Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/3199700.3199838acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Standard cell library design and optimization methodology for ASAP7 PDK

Published: 13 November 2017 Publication History

Abstract

Standard cell libraries are the foundation for the entire back-end design and optimization flow in modern application-specific integrated circuit designs. At 7nm technology node and beyond, standard cell library design and optimization is becoming increasingly difficult due to extremely complex design constraints, as described in the ASAP7 process design kit (PDK). Notable complexities include discrete transistor sizing due to FinFETs, complicated design rules from lithography and restrictive layout space from modern standard cell architectures. The design methodology presented in this paper enables efficient and high-quality standard cell library design and optimization with the ASAP7 PDK. The key techniques include exhaustive transistor sizing for cell timing optimization, transistor placement with generalized Euler paths and back-end design prototyping for library-level explorations.

References

[1]
R. Aitken, G. Yeric, B. Cline, S. Sinha, L. Shifren, I. Iqbal, and V. Chandra, "Physical Design and FinFETs," in ACM International Symposium on Physical Design (ISPD), 2014, pp. 65--68.
[2]
L. Liebmann, A. Chu, and P. Gutwin, "The daunting complexity of scaling to 7nm without EUV: Pushing DTCO to the extreme," in Proc. of SPIE, 2015, pp. 942 702--942 702--12.
[3]
L. Liebmann, J. Zeng, X. Zhu, L. Yuan, G. Bouche, and J. Kye, "Overcoming scaling barriers through design technology CoOptimization," in IEEE Symposium on VLSI Technology (VLSIT), 2016, pp. 1--2.
[4]
V. Vashishtha, A. Dosi, L. Masand, and L. T. Clark, "Design technology co-optimization of back end of line design rules for a 7 nm predictive process design kit," in IEEE Proc. International Symposium on Quality Electronic Design (ISQED), 2017, pp. 149--154.
[5]
M. Martins, J. M. Matos, R. P. Ribas, A. Reis, G. Schlinker, L. Rech, and J. Michelsen, "Open Cell Library in 15nm FreePDK Technology," in ACM International Symposium on Physical Design (ISPD), 2015, pp. 171--178.
[6]
K. Bhanushali and W. R. Davis, "FreePDK15: An Open-Source Predictive Process Design Kit for 15Nm FinFET Technology," in ACM International Symposium on Physical Design (ISPD), 2015, pp. 165--170.
[7]
A. Mallik, P. Zuber, T.-T. Liu, B. Chava, B. Ballal, P. R. Del Bario, R. Baert, K. Croes, J. Ryckaert, M. Badaroglu et al., "Tease: a systematic analysis framework for early evaluation of finfet-based advanced technology nodes," in ACM/IEEE Design Automation Conference (DAC), 2013, p. 24.
[8]
B. Chava, D. Rio, Y. Sherazi, D. Trivkovic, W. Gillijns, P. Debacker, P. Raghavan, A. Elsaid, M. Dusa, A. Mercha et al., "Standard cell design in N7: EUV vs. immersion," in Proc. of SPIE, 2015, pp. 94 270E-94 270E.
[9]
S. M. Y. Sherazi, B. Chava, P. Debacker, M. G. Bardon, P. Schuddinck, F. Firouzi, P. Raghavan, A. Mercha, D. Verkest, and J. Ryckaert, "Architectural strategies in standard-cell design for the 7 nm and beyond technology node," Journal of Microlithography, Microfabrication and Microsystems, vol. 15, no. 1, p. 013507, 2016.
[10]
L. T. Clark, V. Vashishtha, L. Shifren, A. Gujja, S. Sinha, B. Cline, C. Ramamurthy, and G. Yeric, "ASAP7: A 7-nm finFET predictive process design kit," Microelectronics Journal, vol. 53, pp. 105--115, 2016.
[11]
L. T. Clark and V. Vashishtha, "Design with sub-10 nm finfet technologies," in Proc. IEEE Custom Integrated Circuits Conf., 2017, pp. 1--87.
[12]
V. Vashishtha, M. Vangala, and L. T. Clark, "ASAP7 Predictive Design Kit development and Cell Design Technology Co-optimization," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017.
[13]
R. Bar-Yehuda, J. A. Feldman, R. Y. Pinter, and S. Wimer, "Depth-first-search and dynamic programming algorithms for efficient cmos cell generation," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 8, no. 7, pp. 737--743, 1989.
[14]
X. Xu, B. Cline, G. Yeric, B. Yu, and D. Z. Pan, "Self-aligned double patterning aware pin access and standard cell layout co-optimization," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 34, no. 5, pp. 699--712, 2015.
[15]
X. Xu, B. Cline, G. Yeric, and D. Z. Pan, "Standard cell pin access and physical design in advanced lithography," in Proc. of SPIE, 2016, pp. 97 800P--97 800P--13.
[16]
X. Xu, B. Cline, G. Yeric, B. Yu, and D. Z. Pan, "Systematic framework for evaluating standard cell middle-of-line robustness for multiple patterning lithography," Journal of Microlithography, Microfabrication and Microsystems, vol. 15, no. 2, pp. 021 202--021 202, 2016.
[17]
S. Hougardy, T. Nieberg, and J. Schneider, "Bonncell: Automatic layout of leaf cells," in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2013, pp. 453--460.
[18]
W. Ye, B. Yu, D. Z. Pan, Y.-C. Ban, and L. Liebmann, "Standard cell layout regularity and pin access optimization considering middle-of-line," in ACM Great Lakes Symposium on VLSI (GLSVLSI), 2015, pp. 289--294.
[19]
P. Cremer, S. Hougardy, J. Schneider, and J. Silvanus, "Automatic cell layout in the 7nm era," in ACM International Symposium on Physical Design (ISPD), 2017, pp. 99--106.
[20]
P. Debacker, K. Han, A. B. Kahng, H. Lee, P. Raghavan, and L. Wang, "Vertical M1 Routing-Aware Detailed Placement for Congestion and Wirelength Reduction in Sub-10Nm Nodes," in ACM/IEEE Design Automation Conference (DAC), 2017, pp. 51:1--51:6.
[21]
J. Seo, J. Jung, S. Kim, and Y. Shin, "Pin accessibility-driven cell layout redesign and placement optimization," in ACM/IEEE Design Automation Conference (DAC), 2017, pp. 54:1--54:6.
[22]
Y. Ding, C. Chu, and W.-K. Mak, "Pin accessibility-driven detailed placement refinement," in ACM International Symposium on Physical Design (ISPD), 2017, pp. 133--140.
[23]
S. Dobre, A. B. Kahng, and J. Li, "Mixed Cell-Height Implementation for Improved Design Quality in Advanced Nodes," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2015, pp. 854--860.
[24]
T. Taghavi, C. Alpert, A. Huber, Z. Li, G.-J. Nam, and S. Ramji, "New placement prediction and mitigation techniques for local routing congestion," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2010, pp. 621--624.
[25]
X. Xu, Y. Lin, V. Livramento, and D. Z. Pan, "Concurrent Pin Access Optimization for Unidirectional Routing," in ACM/IEEE Design Automation Conference (DAC), 2017, pp. 20:1--20:6.
[26]
X. Xu, B. Yu, J.-R. Gao, C.-L. Hsu, and D. Z. Pan, "PARR: Pin access planning and regular routing for self-aligned double patterning," in ACM/IEEE Design Automation Conference (DAC), 2015, pp. 28:1--28:6.
[27]
Y. Ding, C. Chu, and W.-K. Mak, "Detailed routing for spacer-is-metal type self-aligned double/quadruple patterning lithography," in ACM/IEEE Design Automation Conference (DAC), 2015, pp. 69:1--69:6.
[28]
X. Xu and D. Z. Pan, "Toward Unidirectional Routing Closure in Advanced Technology Nodes," IPSJ Transactions on System LSI Design Methodology, vol. 10, pp. 2--12, 2017.
[29]
J. Jung, I. H.-R. Jiang, G.-J. Nam, V. N. Kravets, L. Behjat, and Y.-L. Li, "OpenDesign Flow Database: The Infrastructure for VLSI Design and Design Automation Research," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016, pp. 42:1--42:6.
[30]
M. Frederick, "Poly pitch and standard cell co-optimization below 28nm," in IEEE International Electron Devices Meeting (IEDM), 2014, pp. 12.7.1--12.7.4.
[31]
T. Uehara and W. M. vanCleemput, "Optimal Layout of CMOS Functional Arrays," in ACM/IEEE Design Automation Conference (DAC), 1979, pp. 287--289.
[32]
R. L. Maziasz and J. P. Hayes, "Layout optimization of CMOS functional cells," in ACM/IEEE Design Automation Conference (DAC), 1987, pp. 544--551.
[33]
"Online," https://developer.arm.com/products/designstart.
[34]
"Cadence Synthesis Solution," https://www.cadence.com/content/cadence-www/global/en_US/home/toors/digital-design-and-signoff/synthesis/genus-synthesis-solution.html, 2017.
[35]
"Innovus Implementation System," https://www.cadence.com/content/cadence-www/global/en_US/home/toors/digital-design-and-signoff/hierarchical-design-and-floorplanning/innovus-implementation-system.html, 2017.

Cited By

View all
  • (2018)Layout-dependent aging mitigation for critical path timingProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201640(153-158)Online publication date: 22-Jan-2018
  • (2018)Routability-driven and fence-aware legalization for mixed-cell-height circuitsProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3196107(1-6)Online publication date: 24-Jun-2018
  • (2017)ASAP7 predictive design kit development and cell design technology co-optimizationProceedings of the 36th International Conference on Computer-Aided Design10.5555/3199700.3199837(992-998)Online publication date: 13-Nov-2017

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '17: Proceedings of the 36th International Conference on Computer-Aided Design
November 2017
1077 pages

Sponsors

In-Cooperation

  • IEEE-EDS: Electronic Devices Society

Publisher

IEEE Press

Publication History

Published: 13 November 2017

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD '17
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)10
  • Downloads (Last 6 weeks)2
Reflects downloads up to 01 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2018)Layout-dependent aging mitigation for critical path timingProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201640(153-158)Online publication date: 22-Jan-2018
  • (2018)Routability-driven and fence-aware legalization for mixed-cell-height circuitsProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3196107(1-6)Online publication date: 24-Jun-2018
  • (2017)ASAP7 predictive design kit development and cell design technology co-optimizationProceedings of the 36th International Conference on Computer-Aided Design10.5555/3199700.3199837(992-998)Online publication date: 13-Nov-2017

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media