Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/320080.320119acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article
Free access

Selective cache ways: on-demand cache resource allocation

Published: 16 November 1999 Publication History

Abstract

Increasing levels of microprocessor power dissipation call for new approaches at the architectural level that save energy by better matching of on-chip resources to application requirements. Selective cache ways provides the ability to disable a subset of the ways in a set associative cache during periods of modest cache activity, while the full cache may remain operational for more cache-intensive periods. Because this approach leverages the subarray partitioning that is already present for performance reasons, only minor changes to a conventional cache are required, and therefore, full-speed cache operation can be maintained. Furthermore, the tradeoff between performance and energy is flexible, and can be dynamically tailored to meet changing application and machine environmental conditions. We show that trading off a small performance degradation for energy savings can produce a significant reduction in cache energy dissipation using this approach.

References

[1]
D. Albonesi. Dynamic IPC/clock rate optimization. Proceedings of the 25th International Symposium on Computer Architecture, pages 282-292, June 1998.
[2]
G. Ammons, T. Ball, and J. Larus. Exploiting hardware performance counters with flow and context sensitive profiling. Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, June 1997.
[3]
J. Anderson et al. Continuous profiling: Where have all the cycles gone? Proceedings of the 16th Symposium on Operating Systems Principles, October 1997.
[4]
A. Argawal, J. Hennessy, and M. Horowitz. Cache performance of operating system and multiprogramming workloads. ACM Transactions on Computer Systems, 6(4):393- 431, November 1988.
[5]
P. Bannon. Alpha 21364: A scalable single-chip SMP. Microprocessor Forum, October 1998.
[6]
N. Bellas et al. Architectural and compiler support for energy reduction in the memory hierarchy of high performance microprocessors. Proceedings of the International Symposium on Low Power Electronics and Design, pages 70-75, August 1998.
[7]
W. Bowhill et al. Circuit implementation of a 300-MHz 64- bit second-generation CMOS Alpha CPLI. Digital Technical Journal, 7(1):100-118, Special Issue 1995.
[8]
D. Burger and T. Austin. The SimpleScalar toolset, version 2.0. Technical Report TR-97-1342, University of Wisconsin-Madison, June 1997.
[9]
A. Chandrakasan, S. Sheng, and R. Brodersen. Low-power CMOS digital design. IEEE Journal of Solid-State Circuits, 27(4):473-484, April 1992.
[10]
J. Dean et al. ProfileMe: Hardware support for instructionlevel profiling in out-of-order processors. Proceedings of the 30th International Symposium on Microarchitecture, pages 292-302, December 1997.
[11]
P. Dinda et al. The CMU task parallel program suite. Technical Report CMU-CS-94-131, Carnegie Mellon University, March 1994.
[12]
D. Dobberpuhl et al. A 200MHz, 64-bit, dual-issue CMOS microprocessor. Digital Technical Journal, 4(4):35-50, Special Issue 1992.
[13]
J. Edmondson et al. Internal organization of the Alpha 21164, a 300MHz 64-bit quad-issue CMOS RISC microprocessor. Digital Technical Journal, 7(1): 119-135, Special Issue 1995.
[14]
T. Horel and G. Lauterbach. UltraSPARC III: Designing third-generation 64-bit performance. IEEE Micro, 19(3):73- 85, May/June 1999.
[15]
M. Kamble and K. Ghose. Analytical energy dissipation models for low power caches. Proceedings of the International Symposium on Low Power Electronics and Design, pages 143-148, August 1997.
[16]
R. Kessler. The Alpha 21264 microprocessor. IEEE Micro, 19(2):24-36, March/April 1999.
[17]
R. Kessler, E. McLellan, and D. Webb. The Alpha 21264 microprocessor architecture. International Conference on Computer Design, October 1998.
[18]
J. Kin, M. Gupta, and W. Mangione-Smith. The filter cache: An energy efficient memory structure. Proceedings of the 29th International Symposium on Microarchitecture, pages 184-193, December 199'/.
[19]
A. Kumar. The HP PA-8000 RISC CPU. IEEE Computer, 17(2):27-32, March 1997.
[20]
A. Lebeck and D. Wood. Cache profiling and the SPEC benchmarks: A case study. IEEE Computer, 27(10):15-26, October 1994.
[21]
G. Lesartre and D. Hunt. PA-8500: The continuing evolution of the PA-8000 family. Proceedings of Compcon, 1997.
[22]
E. McLellan. The Alpha AXP architecture and 21064 processor. IEEE Micro, 13(4):36-47, June 1993.
[23]
J. Montanaro et al. A 160-MHz, 32-b, 0.5W CMOS RISC microprocessor. Digital Technical Journal, 9(1):49-62, 1997.
[24]
M. Tremblay and J. O'Connor. UltraSparc l: A four-issue processor supporting multimedia. IEEE Micro, 16(2):42- 50, April 1996.
[25]
S. Wilton and N. Jouppi. An enhanced access and cycle time model for on-chip caches. Technical Report 93/5, Digital Western Research Laboratory, July 1994.
[26]
X. Zhang et al. System support for automatic profiling and optimization. Proceedings of the 16th Symposium on Operating Systems Principles, October 1997.

Cited By

View all
  • (2019)DynaSprintProceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3352460.3358301(426-439)Online publication date: 12-Oct-2019
  • (2019)A Layer-Adaptable Cache Hierarchy by a Multiple-layer Bypass MechanismProceedings of the 10th International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies10.1145/3337801.3337820(1-6)Online publication date: 6-Jun-2019
  • (2019)MH CacheACM Transactions on Architecture and Code Optimization10.1145/332852016:3(1-26)Online publication date: 18-Jul-2019
  • Show More Cited By

Index Terms

  1. Selective cache ways: on-demand cache resource allocation

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      MICRO 32: Proceedings of the 32nd annual ACM/IEEE international symposium on Microarchitecture
      November 1999
      299 pages
      ISBN:076950437X

      Sponsors

      Publisher

      IEEE Computer Society

      United States

      Publication History

      Published: 16 November 1999

      Check for updates

      Qualifiers

      • Article

      Conference

      MICRO99
      Sponsor:

      Acceptance Rates

      MICRO 32 Paper Acceptance Rate 27 of 131 submissions, 21%;
      Overall Acceptance Rate 484 of 2,242 submissions, 22%

      Upcoming Conference

      MICRO '24

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)39
      • Downloads (Last 6 weeks)8
      Reflects downloads up to 15 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2019)DynaSprintProceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3352460.3358301(426-439)Online publication date: 12-Oct-2019
      • (2019)A Layer-Adaptable Cache Hierarchy by a Multiple-layer Bypass MechanismProceedings of the 10th International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies10.1145/3337801.3337820(1-6)Online publication date: 6-Jun-2019
      • (2019)MH CacheACM Transactions on Architecture and Code Optimization10.1145/332852016:3(1-26)Online publication date: 18-Jul-2019
      • (2018)Dark packets and the end of network scalingProceedings of the 2018 Symposium on Architectures for Networking and Communications Systems10.1145/3230718.3230727(1-14)Online publication date: 23-Jul-2018
      • (2018)ACCORDProceedings of the 45th Annual International Symposium on Computer Architecture10.1109/ISCA.2018.00036(328-339)Online publication date: 2-Jun-2018
      • (2017)ReDirectACM Transactions on Architecture and Code Optimization10.1145/316201514:4(1-23)Online publication date: 20-Dec-2017
      • (2017)JengaACM SIGARCH Computer Architecture News10.1145/3140659.308021445:2(652-665)Online publication date: 24-Jun-2017
      • (2017)JengaProceedings of the 44th Annual International Symposium on Computer Architecture10.1145/3079856.3080214(652-665)Online publication date: 24-Jun-2017
      • (2017)Multi-cache resizing via greedy coordinate descentThe Journal of Supercomputing10.1007/s11227-016-1927-073:6(2402-2429)Online publication date: 1-Jun-2017
      • (2016)PowerChopACM SIGARCH Computer Architecture News10.1145/3007787.300115244:3(140-152)Online publication date: 18-Jun-2016
      • Show More Cited By

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media