Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/368058.368343acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
Article
Free access

Energy-delay efficient data storage and transfer architectures: circuit technology versus design methodology solutions

Published: 23 February 1998 Publication History
  • Get Citation Alerts
  • Abstract

    Both in custom and programmable instruction-set processors for data-dominated multi-media applications, many of the architecture components are intended to solve the data transfer and storage issues. Recent experiments at several locations have clearly demonstrated that due to this fact, the main power (and largely also area) cost is situated in the memory units and the communication hardware. In this paper, the main reasons for this problem will be reviewed and a perspective will be provided on the expected near-future evolution. It will be shown that the circuit and process technology advances have been very significant in the past decade. Still, these are not sufficient to fully solve this power and area bottle-neck which has been created in the same period. Therefore, also several possible design methodology remedies will be proposed for this critical design issue, with emphasis on effective system-level memory management methodologies. These promise very large savings on energy-delay also on area for multi-media applications, while still meeting the real-time constraints.

    References

    [1]
    B.Amrutur, M.Horowitz, "Techniques to reduce power in fast wide memories", Symp. on Low-power Electronics, 1994.
    [2]
    J.Armer et al., "A chip set for MPEGF2 video encoding", Proc. IEEE Custom Integrated Circuits Conf., Santa Clara CA, pp.401- 404, May 1995.
    [3]
    More information and related papers are available at the memory management related http: web site of VSDM: //www.imec.be/vsdm/projects/atomium/index.html.
    [4]
    P.Balietto, M.Maresca, M.Migliardi, N.Zingirian, "Image processing on high-performance RISC systems", Proc. of the IEEE,invited paper, Vol.84, No.7, pp.917-930, July 1996.
    [5]
    G.Braceras, D.Evans, J.Sousa, J.Connor, "A 350 MHz 3.3V 4Mb SRAM fabricated in a 0.3 ~m CMOS process", Proc. IEEE Int. Solid-State Circ. Conf., San Francisco CA, pp.404-405, Feb. 1997.
    [6]
    R.W.Brodersen, "The network Computer and its Future," Proc. IEEE Int. Solid-State Circ. Conf., San Francisco CA, pp.32-36, Feb. 1997.
    [7]
    F.Catthoor, F.Franssen, S.Wuytack, L.Nachtergaele, H.De Man, "Global communication and memory optimizing transformations for low power signal processing systems", IEEE workshop on VLSI signal processing, La Jolla CA, Oct. 1994. Also in VLSI Signal Processing VII, J.Rabaey, P.Chau, J.Eldon (eds.), IEEE Press, New York, pp.178-187, 1994.
    [8]
    F.Catthoor, S.Wuytack, E.De Greef, F.Franssen, L.Nachtergaele. H.De Man, "System-level transformations for low power data transfer and storage", in paper collection on "Low power CMOS design" (eds. A.Chandrakasan, R.Brodersen), IEEE Press, pp.609- 618, 1998.
    [9]
    P.Chatterjee (President Personal Productivity Products, Texas Instruments), "Gigachips: deliver affordable digital multi-media for work and play via broadband network and set-top box", Plenary paper in Proc. IEEE Int. Solid-State Circ. Conf., San Francisco CA, pp.26-30, Feb. 1995.
    [10]
    R.Comerford, G.Watson (eds.), "Memory catches up", IEEE Spectrum, pp.34-57, Oct. 1992.
    [11]
    K.Danckaert, F.Catthoor, H.De Man, "System level memory optimization for hardware-software co-design", Proc. IEEE Intnl. Workshop on Hardware/Software Co-design, Braunschweig, Germany, pp.55-59, March 1997.
    [12]
    E.De Greef, F.Catthoor, H.De Man, "Memory organization for video algorithms on programmable signal processors", Proc. IEEE Int. Conf. on Computer Design, Austin TX, pp.552-557, Oct. 1995.
    [13]
    E.De Greef, F.Catthoor, H.De Man, "Memory Size Reduction through Storage Order Optimization for Embedded Parallel Multimedia Applications", Intnl. Parallel Proc. Symp.(IPPS) in Proc. Workshop on "Parallel Processing and Multimedia", Geneva, Switzerland, pp.84-98, April 1997.
    [14]
    J.P.Diguet, S.Wuytack, F.Catthoor, H.De Man, "Formalized methodology for data reuse exploration in hierarchical memory mappings", Proc. IEEE Intnl. Symp. on Low Power Design, Monterey, pp.30-35, Aug. 1997.
    [15]
    R.Gonzales, M.Horowitz, "Energy dissipation in general-purpose microprocessors", IEEE J. Solid-state Circ., Vol.SC-31, No.9, pp.1277-1283, Sep. 1996.
    [16]
    Digital Video Coding at Telenor R & D, "Telenor's H.263 Software", Version 3.1, http://www.nta.no/brukere/DVC/h263 software/ .
    [17]
    K.Itoh, K.Sasaki, Y.Nakagome, "Trends in low-power RAM circuit technologies", special issue on "Low power electronics" of the Proceedings of the IEEE, Vol.83, No.4, pp.524-543, April 1995.
    [18]
    D.Kolson, A.Nicolau, N.Dutt, "Minimization of memory traffic in high-level synthesis", Proc. 31st ACM/IEEE Design Automation Conf., San Diego, CA, pp.149-154, June 1994.
    [19]
    P.Lippens, J.van Meerbergen, W.Verhaegh, A.van der Werf, "Allocation of multiport memories for hierarchical data streams", Proc. IEEE Int. Conf. Comp. Aided Design, Santa Clara CA, Nov. 1993.
    [20]
    T.Matsumura et al., "A chip set architecture for programmable real-time MPEG2 video encoder", Proc. IEEE Custom Integrated Circuits Conf., Santa Clara CA, pp.393-396, May 1995.
    [21]
    T.H.Meng, B.Gordon, E.Tsern, A.Hung, "Portable video-ondemand in wireless communication", special issue on "Low power electronics" of the Proceedings of the IEEE, Vol.83, No.4, pp.659- 680, April 1995.
    [22]
    H.Morimura, N.Shibata, "A 1V 1MB SRAM for portable equipment", Proc. IEEE Intnl. Symp. on Low Power Design, Monterey, pp.61-66, Aug. 1996.
    [23]
    K.Murakami, S.Shirakawa, H.Miyajima, "Parallel processing RAM chip with 256 Mb DRAM and quad processors", Proc. IEEE Int. Solid-State Circ. Conf., San Francisco CA, pp.228-229, Feb. 1997.
    [24]
    L.Nachtergaele, F.Catthoor, B.Kapoor, D.Moolenaar, S.Janssens, "Low power storage exploration for H.263 video decoder", IEEE workshop on VLSI signal processing, Monterey CA, Oct. 1996. Also in VLSI Signal Processing IX, W.Burleson, K.Konstantinides, T.Meng, (eds.), IEEE Press, New York, pp.116- 125, 1996.
    [25]
    L.Nachtergaele, D.Moolenaar, B.Vanhoof, F.Catthoor, H.De Man, "System-level power optimization of video codecs on embedded cores : a systematic approach", accepted for special issue on Future directions in the design and implementation of DSP systems (eds. Wayne Burleson, Konstantinos Konstantinides) of Journal of VLSI Signal Processing, Kluwer, Boston, Feb. 1998.
    [26]
    S.Nakagawa et al., "A single chip 5 GOPS macroblock-level pixel processor for MPEG2 real-time encoding", Proc. IEEE Custom Integrated Circuits Conf., Santa Clara CA, pp.397-400, May 1995.
    [27]
    K.Nakamura, et al., "A 500 MHz 4Mb CMOS pipeline-burst cache SRAM with point-to-point noise reduction coding I/O", Proc. IEEE Int. Solid-State Circ. Conf., San Francisco CA, pp.406-407, Feb. 1997.
    [28]
    P.R.Panda, N.D.Dutt, A.Nicolau, " Memory data organization for improved cache performance in embedded processor applications", Proc. 9th ACM/IEEE Intnl. Symp. on System-Level Synthesis, La Jolla CA, pp.90-95, Nov. 1996.
    [29]
    D.A.Patterson et al., "Intelligent RAM(IRAM): chips that remember and compute", Proc. IEEE Int. Solid-State Circ. Conf.,San Francisco CA, pp.224-225, Feb. 1997.
    [30]
    P.Pirsch, N.Demassieux, W.Gehrke, "VLSI architectures for video compression - a survey", Proc. of the IEEE, invited paper, Vol.83, No.2, pp.220-246, Feb. 1995.
    [31]
    S.Przybylski, "New DRAM architectures", tutorial at IEEE Int. Solid-State Circ. Conf., San Francisco CA, Feb. 1997.
    [32]
    J.Rabaey, "System-level power estimation and optimization - challenges and perspectives", Proc. IEEE Intnl. Symp. on Low Power Design, Monterey, pp.158-160, Aug. 1997.
    [33]
    K.Roenner, J.Kneip, "Architecture and applications of the HiPar video signal processor", to appear in IEEE Trans. on Circuits and Systems for Video Technology, special issue on "VLSI for video signal processors" (eds. B.Ackland, T.Nishitani, P.Pirsch), 1996.
    [34]
    I.Saeed, "Integrated memory ups speed, saves power", Electronic Engineering Times, pp.94, April 28, 1997.
    [35]
    T.Seki, E.Itoh, C.Furukawa, I.Maeno, T.Ozawa, H.Sano, N.Suzuki, "A 6-ns 1-Mb CMOS SRAM with Latched Sense Amplifier", IEEE J. of Solid-state Circuits, Vol.SC-28, No.4, pp.478- 483, Apr. 1993.
    [36]
    P.Slock, S.Wuytack, F.Catthoor, G.de Jong, "Fast and extensive system-level memory exploration for ATM applications", Proc. 10th ACM/IEEE Intnl. Symp. on System-Level Synthesis,Antwerp, Belgium, pp.74-81, Sep. 1997.
    [37]
    T.Sugibayashi et al., "A 30 ns 256 Mb DRAMwith a multi-divided array structure", IEEE J. Solid-state Circ., Vol.SC-28, No.11, pp.1092-1096, Nov. 1993.
    [38]
    V.Tiwari, S.Malik, A.Wolfe, "Power analysis of embedded software: a first step towards software power minimization", Proc. IEEE Int. Conf. Comp. Aided Design, Santa Clara CA, pp.384- 390, Nov. 1994.
    [39]
    I.Verbauwhede, F.Catthoor, J.Vandewalle, H.De Man, "Background memory management for the synthesis of algebraic algorithms on multi-processor DSP chips", Proc. VLSI'89, Int. Conf. on VLSI, Munich, Germany, pp.209-218, Aug. 1989.
    [40]
    S.Wuytack, F.Catthoor, L.Nachtergaele, H.De Man, "Power Exploration for Data Dominated Video Applications", Proc. IEEE Intnl. Symp. on Low Power Design, Monterey, pp.359-364, Aug. 1996.
    [41]
    T.Yamagata et al., "Circuit design techniques for low-voltage operating and/or giga-scale DRAMs", Proc. IEEE Int. Solid-State Circ. Conf., San Francisco CA, pp.248-249, Feb. 1995.

    Cited By

    View all
    • (1998)Issues in embedded DRAM development and applicationsProceedings of the 11th international symposium on System synthesis10.5555/293016.293021(23-31)Online publication date: 2-Dec-1998

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DATE '98: Proceedings of the conference on Design, automation and test in Europe
    February 1998
    940 pages

    Sponsors

    Publisher

    IEEE Computer Society

    United States

    Publication History

    Published: 23 February 1998

    Check for updates

    Author Tags

    1. low power
    2. memory circuits
    3. memory organization
    4. system design

    Qualifiers

    • Article

    Conference

    DATE98
    Sponsor:
    DATE98: Design, Automation & Test in Europe
    February 23 - 26, 1998
    Le Palais des Congrés de Paris, France

    Acceptance Rates

    Overall Acceptance Rate 518 of 1,794 submissions, 29%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)9
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 12 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (1998)Issues in embedded DRAM development and applicationsProceedings of the 11th international symposium on System synthesis10.5555/293016.293021(23-31)Online publication date: 2-Dec-1998

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media