Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/501790.501803acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article
Free access

Compiler optimization on instruction scheduling for low power

Published: 20 September 2000 Publication History
  • Get Citation Alerts
  • Abstract

    In this paper, we investigate the compiler transformation techniques to the problem of scheduling VLIW instructions aimed to reduce the power consumption on the instruction bus. It can be categorized into two types: horizontal and vertical scheduling. For the horizontal case, we propose a bipartite-matching scheme. We prove that our greedy algorithm always gives the optimal switching activities of the instruction bus. In the vertical case, we prove that the problem is NP-hard, and propose a heuristic algorithm. Experimental results show average 13% improvements with 4-way issue architecture and average 20% improvement with 8-way issue architecture for power consumptions of instruction bus as compared with conventional list scheduling for an extensive set of benchmarks.

    References

    [1]
    C.Y. Tsui, and M. Pedram, and A.M. Despain, "Technology Decomposition and Mapping Targeting Low Power Dissipation", Proc. of 30th Design Automaton Conf., pp.68-73, June 1993.
    [2]
    M. Alidina, and J. Monteiro, and S. Devadas, and A. Ghosh, and M. Papaefthymiou, "Precomputation-Based Sequential Logic Optimization for Low Power", Proc. of ICCAD-94, pp. 74-81, 1994.
    [3]
    Inki Hong, and Darko Dirovski, et.al., "Power Optimization of Variable Voltage Core-Based Systems", Proc. of 35th DAC, pp. 176-181, 1998.
    [4]
    Jui-Ming Chang, Massoud Pedram. "Register Allocation and Binding for Low Power", Proceedings of Design Automaton Conference, San Francisco, USA, June 1995.
    [5]
    Mike Tien-Chien Lee, and Vivek Tiwari, and Sharad Malik, and Masahiro Fujita, "Power Analysis and Minimization Techniques for Embedded DSP Software", IEEE Transactions on VLSI Systems, Vol. 5, no. 1, pp. 123-133, March 1997.
    [6]
    Michael L. Fredman, and Robert Endre Tarjan, "Fibonacci Heap and Their Uses in Improved Network Optimization Algorithms", Journal of the Association for Computing Machinery, Vol. 34, No. 3, Page 596-615, July 1987.
    [7]
    Ching-ren Lee, "Compiler Optimization on Advacned Processors for Low Power", Master Thesis, Dept. of Computer Sciense, National Tsing Hua Univ, Taiwan, 1999.
    [8]
    Stanford Compiler Group, The SUIF Library, Stanford Compiler Group, Stanford, March 1995.
    [9]
    Michael D. Smith, The SUIF Machine Library, Division of of Engineering and Applied Science, Harvard University, March 1998.
    [10]
    Al Aburto, collections of common benchmarks of FAQ ofcomp.benchmarks USENET newsgroup, ftp site: ftp.nosc.mil/pub/aburto.

    Cited By

    View all

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISSS '00: Proceedings of the 13th international symposium on System synthesis
    September 2000
    240 pages
    ISBN:1581132670
    • General Chair:
    • Fadi Kurdahi,
    • Program Chair:
    • Román Hermida

    Sponsors

    Publisher

    IEEE Computer Society

    United States

    Publication History

    Published: 20 September 2000

    Check for updates

    Qualifiers

    • Article

    Conference

    ISSS00
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 38 of 71 submissions, 54%

    Upcoming Conference

    ESWEEK '24
    Twentieth Embedded Systems Week
    September 29 - October 4, 2024
    Raleigh , NC , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)25
    • Downloads (Last 6 weeks)14
    Reflects downloads up to 10 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2018)FlashabacusProceedings of the Thirteenth EuroSys Conference10.1145/3190508.3190544(1-15)Online publication date: 23-Apr-2018
    • (2016)Efficient program tracing and monitoring through power consumptionProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972172(1556-1561)Online publication date: 14-Mar-2016
    • (2014)Register swapping schemes for low power executionMicroprocessors & Microsystems10.1016/j.micpro.2014.03.00138:5(485-495)Online publication date: 1-Jul-2014
    • (2012)Studying the impact of application-level optimizations on the power consumption of multi-core architecturesProceedings of the 9th conference on Computing Frontiers10.1145/2212908.2212927(123-132)Online publication date: 15-May-2012
    • (2011)Compiler directed issue queue energy reductionTransactions on High-Performance Embedded Architectures and Compilers IV10.5555/2172445.2172449(42-62)Online publication date: 1-Jan-2011
    • (2011)Reliable software for unreliable hardwareProceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis10.1145/2039370.2039408(237-246)Online publication date: 9-Oct-2011
    • (2011)Automated empirical tuning of scientific codes for performance and power consumptionProceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers10.1145/1944862.1944880(107-116)Online publication date: 24-Jan-2011
    • (2008)Highly-cited ideas in system codesign and synthesisProceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis10.1145/1450135.1450178(191-196)Online publication date: 19-Oct-2008
    • (2006)Software-based self-test of processors under power constraintsProceedings of the conference on Design, automation and test in Europe: Proceedings10.5555/1131481.1131597(430-435)Online publication date: 6-Mar-2006
    • (2006)Compiler-directed thermal management for VLIW functional unitsACM SIGPLAN Notices10.1145/1159974.113467441:7(163-172)Online publication date: 14-Jun-2006
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media