Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/548716.822676guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article

An Evaluation of Fine-Grain Producer-Initiated Communication in Cache-Coherent Multiprocessors

Published: 01 February 1997 Publication History
  • Get Citation Alerts
  • Abstract

    No abstract available.

    Cited By

    View all
    • (2015)Automatic sharing classification and timely push for cache-coherent systemsProceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis10.1145/2807591.2807649(1-12)Online publication date: 15-Nov-2015
    • (2014)Leveraging on-chip networks for efficient prediction on multicore coherenceProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616825(1-4)Online publication date: 24-Mar-2014
    • (2014)Integrated Coherence PredictionACM Transactions on Design Automation of Electronic Systems10.1145/261175619:3(1-22)Online publication date: 23-Jun-2014
    • Show More Cited By

    Index Terms

    1. An Evaluation of Fine-Grain Producer-Initiated Communication in Cache-Coherent Multiprocessors
          Index terms have been assigned to the content through auto-classification.

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image Guide Proceedings
          HPCA '97: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture
          February 1997
          ISBN:0818677643

          Publisher

          IEEE Computer Society

          United States

          Publication History

          Published: 01 February 1997

          Qualifiers

          • Article

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)0
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 10 Aug 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2015)Automatic sharing classification and timely push for cache-coherent systemsProceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis10.1145/2807591.2807649(1-12)Online publication date: 15-Nov-2015
          • (2014)Leveraging on-chip networks for efficient prediction on multicore coherenceProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616825(1-4)Online publication date: 24-Mar-2014
          • (2014)Integrated Coherence PredictionACM Transactions on Design Automation of Electronic Systems10.1145/261175619:3(1-22)Online publication date: 23-Jun-2014
          • (2012)Predicting Coherence Communication by Tracking Synchronization Points at Run TimeProceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2012.40(351-362)Online publication date: 1-Dec-2012
          • (2010)An adaptive cache coherence protocol for chip multiprocessorsProceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies10.1145/1882453.1882458(1-10)Online publication date: 19-Jun-2010
          • (2010)Energy- and Performance-Efficient Communication Framework for Embedded MPSoCs through Application-Driven Release ConsistencyACM Transactions on Design Automation of Electronic Systems10.1145/1870109.187011716:1(1-39)Online publication date: 1-Nov-2010
          • (2010)On-chip communication and synchronization mechanisms with cache-integrated network interfacesProceedings of the 7th ACM international conference on Computing frontiers10.1145/1787275.1787328(217-226)Online publication date: 17-May-2010
          • (2008)Extending CC-NUMA systems to support write update optimizationsProceedings of the 2008 ACM/IEEE conference on Supercomputing10.5555/1413370.1413401(1-12)Online publication date: 15-Nov-2008
          • (2007)Concerning with on-chip network features to improve cache coherence protocols for CMPsProceedings of the 12th Asia-Pacific conference on Advances in Computer Systems Architecture10.5555/2392163.2392192(304-314)Online publication date: 23-Aug-2007
          • (2006)Support for High-Frequency Streaming in CMPsProceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2006.47(259-272)Online publication date: 9-Dec-2006
          • Show More Cited By

          View Options

          View options

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media