Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/602902.602926acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article
Free access

A timing-constrained algorithm for simultaneous global routing of multiple nets

Published: 05 November 2000 Publication History

Abstract

In this paper, we propose a new approach for VLSI interconnect global routing that can optimize both congestion and delay, which are often competing objectives. Our approach provides a general framework that may use any single-net routing algorithm and any delay model in global routing. It is based on the observation that there are several routing topology flexibilities under timing constraints. These flexibilities are exploited for congestion reduction through a network flow based hierarchical bisection and assignment process. Experimental results on benchmark circuits are quite promising.

References

[1]
C. Chiang, C. K. Wong and M. Sarrafzadeh, "A weighted Steiner tree-based global router with simultaneous length and density minimization," IEEE Trans. on CAD, Vol. 13, No. 12, pp. 1461-1469, Dec., 1994.
[2]
B. S. Ting and B. N. Tien, "Routing techniques for gate array," IEEE Trans. on CAD, Vol. CAD-2, No. 4, pp. 301-312, Oct., 1983.
[3]
R. C. Carden IV, J. Li and C.-K. Cheng, "A global router with a theoretical bound on the optimal solution," IEEE Trans. on CAD, Vol. 15, No. 2, pp. 208-216, Feb., 1996.
[4]
M. Burstein and R. Pelavin, "Hierarchical wire routing," IEEE Trans. on CAD, Vol. CAD-2, No. 4, pp. 223-234, Oct., 1983.
[5]
M. Marek-Sadowska, "Route planner for custom chip design," Proc. ICCAD, pp. 246-249, 1986.
[6]
D. Wang and E. S. Kuh, "Performance-driven interconnect global routing," Proc. of the IEEE Great Lakes Symp. on VLSI, pp. 132-136, 1996.
[7]
J. Huang, X.-L. Hong, C.-K. Cheng and E. S. Kuh, "An efficient timing-driven global routing algorithm," Proc. DAC, pp. 596-600, 1993.
[8]
J. Cong and P. H. Madden, "Performance driven global routing for standard cell design," Proc. ISPD, pp.73-80, 1997.
[9]
K. D. Boese, A. B. Kahng, B. A. McCoy and G. Robins, "Near-optimal critical sink routing tree constructions," IEEE Trans. on CAD, Vol. 14, No. 12, pp. 1417-36, Dec. 1995.
[10]
K. Zhu, Y.-W. Chang and D. F. Wong, "Timing-driven routing for symmetrical-array-based FPGAs," Proc. ICCD, pp.628-633, 1998.
[11]
J. Hu and S. S. Sapatnekar, "FAR-DS: full-plane AWE routing with driver sizing," Proc. DAC, pp. 84-89, 1999.
[12]
H. Hou, J. Hu and S. S. Sapatnekar, "Non-Hanan routing", IEEE Trans. on CAD, Vol. 18, No. 4, pp. 436-444, Apr., 1999.
[13]
J. Lillis, C. K. Cheng, T. T. Lin and C. Y. Ho, "New performance driven routing techniques with explicit area/delay tradeoff and simultaneous wire sizing," Proc. DAC, pp. 395-400, Jun. 1996.
[14]
J. Cong and C. K. Koh, "Interconnect layout optimization under higher-order RLC model," Proc. ICCAD, pp. 713-720, 1997.
[15]
R. K. Ahuja, T. L. Magnanti and J. B. Orlin, Network flows: theory, algorithms, and applications. Prentice Hall, Upper Saddle River, NJ, 1993.
[16]
K. D. Wayne and L. Fleischer, "Fast and simple approximation schemes for generalized flow," Proc. Symposium on Discrete Algorithms, pp. 981-982, 1999.

Cited By

View all
  • (2018)Simultaneous Timing Driven Tree Surgery in Routing with Machine Learning-based AccelerationProceedings of the 2018 Great Lakes Symposium on VLSI10.1145/3194554.3194556(261-266)Online publication date: 30-May-2018
  • (2009)Timing-driven non-rectangular obstacles-avoiding routing algorithm for the X-architectureProceedings of the 8th WSEAS international conference on Instrumentation, measurement, circuits and systems10.5555/1576594.1576601(31-34)Online publication date: 20-May-2009
  • (2009)BoxRouter 2.0ACM Transactions on Design Automation of Electronic Systems10.1145/1497561.149757514:2(1-21)Online publication date: 7-Apr-2009
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '00: Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
November 2000
558 pages
ISBN:0780364481

Sponsors

Publisher

IEEE Press

Publication History

Published: 05 November 2000

Check for updates

Qualifiers

  • Article

Conference

ICCAD '00
Sponsor:
ICCAD '00: International Conference on Computer Aided Design
November 5 - 9, 2000
California, San Jose

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)10
  • Downloads (Last 6 weeks)6
Reflects downloads up to 15 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2018)Simultaneous Timing Driven Tree Surgery in Routing with Machine Learning-based AccelerationProceedings of the 2018 Great Lakes Symposium on VLSI10.1145/3194554.3194556(261-266)Online publication date: 30-May-2018
  • (2009)Timing-driven non-rectangular obstacles-avoiding routing algorithm for the X-architectureProceedings of the 8th WSEAS international conference on Instrumentation, measurement, circuits and systems10.5555/1576594.1576601(31-34)Online publication date: 20-May-2009
  • (2009)BoxRouter 2.0ACM Transactions on Design Automation of Electronic Systems10.1145/1497561.149757514:2(1-21)Online publication date: 7-Apr-2009
  • (2008)Timing-driven octilinear Steiner tree construction based on Steiner-point reassignment and path reconstructionACM Transactions on Design Automation of Electronic Systems10.1145/1344418.134442213:2(1-18)Online publication date: 23-Apr-2008
  • (2007)BoxRouter 2.0Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design10.5555/1326073.1326176(503-508)Online publication date: 5-Nov-2007
  • (2006)Wire density driven global routing for CMP variation and timingProceedings of the 2006 IEEE/ACM international conference on Computer-aided design10.1145/1233501.1233599(487-492)Online publication date: 5-Nov-2006
  • (2006)BoxRouterProceedings of the 43rd annual Design Automation Conference10.1145/1146909.1147009(373-378)Online publication date: 24-Jul-2006
  • (2005)A routing algorithm for flip-chip designProceedings of the 2005 IEEE/ACM International conference on Computer-aided design10.5555/1129601.1129708(753-758)Online publication date: 31-May-2005
  • (2005)Equidistance routing in high-speed VLSI layout designIntegration, the VLSI Journal10.5555/1062115.171208438:3(439-449)Online publication date: 1-Jan-2005
  • (2004)Full-Chip Multilevel Routing for Power and Signal IntegrityProceedings of the conference on Design, automation and test in Europe - Volume 210.5555/968879.969137Online publication date: 16-Feb-2004
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media