Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/946246.946524guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article

Energy Efficient Asymmetrically Ported Register Files

Published: 13 October 2003 Publication History

Abstract

Power consumption in the register file (RF) forms a considerable fraction of the total power consumption in a chip. With increasing instruction window sizes and issue widths, RF power consumption will suffer a significantly large growth. Using the fact that many of the register values are small and require only a small number of bits for representation, we propose a novel asymmetrically ported RF (to reduce RF power consumption), in which some of the port scan only read/write small-sized values. We experiment with both monolithic and partitioned versions of asymmetrically ported RFs. The power savings in the RF with partitioned asymmetrically ported RF reach as high as 60%. These reductions in RF power consumption come with about 40% improvement in RF access-time and a negligible impact on IPC (Instructions per Cycle).

Cited By

View all
  • (2016)DCCProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2902990(113-116)Online publication date: 18-May-2016
  • (2012)Low power aging-aware register file design by duty cycle balancingProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2492844(546-549)Online publication date: 12-Mar-2012
  • (2009)Exploiting narrow-width values for thermal-aware register file designsProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874962(1422-1427)Online publication date: 20-Apr-2009
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Guide Proceedings
ICCD '03: Proceedings of the 21st International Conference on Computer Design
October 2003
ISBN:0769520251

Publisher

IEEE Computer Society

United States

Publication History

Published: 13 October 2003

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 15 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2016)DCCProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2902990(113-116)Online publication date: 18-May-2016
  • (2012)Low power aging-aware register file design by duty cycle balancingProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2492844(546-549)Online publication date: 12-Mar-2012
  • (2009)Exploiting narrow-width values for thermal-aware register file designsProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874962(1422-1427)Online publication date: 20-Apr-2009
  • (2009)Energy-efficient register caching with compiler assistanceACM Transactions on Architecture and Code Optimization10.1145/1596510.15965116:4(1-23)Online publication date: 29-Oct-2009
  • (2006)Selective writebackProceedings of the 2006 international symposium on Low power electronics and design10.1145/1165573.1165584(37-42)Online publication date: 4-Oct-2006
  • (2004)Register PackingProceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2004.29(304-315)Online publication date: 4-Dec-2004
  • (2004)Bit-sliced datapath for energy-efficient high performance microprocessorsProceedings of the 4th international conference on Power-Aware Computer Systems10.1007/11574859_3(30-45)Online publication date: 5-Dec-2004

View Options

View options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media