Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article
Free access

Multiple-block ahead branch predictors

Published: 01 September 1996 Publication History
  • Get Citation Alerts
  • Abstract

    A basic rule in computer architecture is that a processor cannot execute an application faster than it fetches its instructions. This paper presents a novel cost-effective mechanism called the two-block ahead branch predictor. Information from the current instruction block is not used for predicting the address of the next instruction block, but rather for predicting the block following the next instruction block.This approach overcomes the instruction fetch bottle-neck exhibited by wide-dispatch "brainiac" processors by enabling them to efficiently predict addresses of two instruction blocks in a single cycle. Furthermore, pipelining the branch prediction process can also be done by means of our predictor for "speed demon" processors to achieve higher clock rate or to improve the prediction accuracy by means of bigger prediction structures.Moreover, and unlike the previously-proposed multiple predictor schemes, multiple-block ahead branch predictors can use any of the branch prediction schemes to perform the very accurate predictions required to achieve high-performance on superscalar processors.

    References

    [1]
    M. Butler and Y. N. Part, "An Investigation of the Performance of Various Dynamic Scheduling Techniques," Proceedings of the ~5th Annual International Symposium on Microarchitecture, December 1992.
    [2]
    B. Calder and D. Grunwald, "Next Cache Line and Set Prediction," Proceedings of the ~2nd In. ternational Symposium on Computer Architecture, June 1995.
    [3]
    T. M. Conte, K. N. Menezes, P. M. Mills, and B. A. Patel, "Optimization of Instruction Fetch Mechanisms for High Issue Rates," Proceedzngs of the 22nd Annual International Symposium on Computer Architecture, June 1995.
    [4]
    S. Durra and M. Franklin, "Control Flow Prediction with Tree-Like Subgraphs for Supcrscalar Processors,'' Proceedings of the ~Sth Annual International Symposium on Microarchgtecture, November 1995.
    [5]
    L. Gwennap, "Digital Leads the Pack with 21164," Microprocessor Report, September 1994.
    [6]
    L. Gwennap, "Comparing RISC Microprocessors," Proceedings of the Microprocessor Forum, October 1994.
    [7]
    L. Gwennap, "PA-8000 Combines Complexity and Speed," Microprocessor Report, November 1994.
    [8]
    L. Gwennap, "Intel's P6 Uses Decoupled Superscalar Design," Microprocessor Report, February 1995.
    [9]
    IBM and Motorola, "PowerPC 604 RISC Microprocessor User's Manual," MPR604UMU-01, 1994.
    [10]
    M. Johnson, Supersca}ar Microprocessor Design, Prentice-Hall, 1991.
    [11]
    S. Jourdan, P. Sainrat, and D. Litaize, "An Investigation of the Performance of Various Instruction-Issue Buffer Topologies" Proceedings of the ~3th Annual International Symposium on M~- croarchitecture, November 1995.
    [12]
    S. McFarling, "Combining Branch Predictors," Technical Note TN-36, DEC-WRL, June 1993.
    [13]
    Mips Technologies Incorporated, "R10000 Microprocessor Product Overview," Technical Report, October 1994.
    [14]
    D. N. Pnevmatikatos, M. Franklin, and G. S. Sohi, "Control Flow Prediction for Dynamic ILP Processors," Proceedings of the 26th Annual International Symposzum on Microarchitecture, December 1993.
    [15]
    A. Seznec, "Don't use the page number, but a pointer to it," Proceedings of the 23rd Annual International Symposium on Computer A rchgtecture, May 1996.
    [16]
    M. D. Smith, "Tracing with Pixie," Technzcal report, Stanford University, April 1991.
    [17]
    SPEC 92, Technical report~ December 1992.
    [18]
    S. Weiss and J. E. Smith, POWER and PowerPC: Principles, Architecture and Implementation, Morgan Kaufmann Pubhshers Inc., 1994.
    [19]
    T. Yeh, D. T. Marr, and Y. N. Part, "Increasing the Instruction Fetch Rate via Multiple Branch Prediction and a Branch Address Cache," Proceedings of the 7th A CM International Conference on Supercomputing, July 1993.
    [20]
    T. Yeh, "Two-Level Adaptive Branch Prediction and Instruction Fetch Mechanisms for High Performance Superscalar Processors," PhD theszs, Department of Electrical Engineering and Computer Science, University of Michigan, 1993.

    Cited By

    View all
    • (2018)Static Instruction Scheduling for High Performance on Limited HardwareIEEE Transactions on Computers10.1109/TC.2017.276964167:4(513-527)Online publication date: 1-Apr-2018
    • (2017)Clairvoyance: look-ahead compile-time schedulingProceedings of the 2017 International Symposium on Code Generation and Optimization10.5555/3049832.3049852(171-184)Online publication date: 4-Feb-2017
    • (2017)Clairvoyance: Look-ahead compile-time scheduling2017 IEEE/ACM International Symposium on Code Generation and Optimization (CGO)10.1109/CGO.2017.7863738(171-184)Online publication date: Feb-2017
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM SIGOPS Operating Systems Review
    ACM SIGOPS Operating Systems Review  Volume 30, Issue 5
    Dec. 1996
    273 pages
    ISSN:0163-5980
    DOI:10.1145/248208
    Issue’s Table of Contents
    • cover image ACM Conferences
      ASPLOS VII: Proceedings of the seventh international conference on Architectural support for programming languages and operating systems
      October 1996
      290 pages
      ISBN:0897917677
      DOI:10.1145/237090
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 September 1996
    Published in SIGOPS Volume 30, Issue 5

    Check for updates

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)758
    • Downloads (Last 6 weeks)561
    Reflects downloads up to 11 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2018)Static Instruction Scheduling for High Performance on Limited HardwareIEEE Transactions on Computers10.1109/TC.2017.276964167:4(513-527)Online publication date: 1-Apr-2018
    • (2017)Clairvoyance: look-ahead compile-time schedulingProceedings of the 2017 International Symposium on Code Generation and Optimization10.5555/3049832.3049852(171-184)Online publication date: 4-Feb-2017
    • (2017)Clairvoyance: Look-ahead compile-time scheduling2017 IEEE/ACM International Symposium on Code Generation and Optimization (CGO)10.1109/CGO.2017.7863738(171-184)Online publication date: Feb-2017
    • (2001)Handling 16 instructions per cycle in a superscalar processorFuture Generation Computer Systems10.1016/S0167-739X(00)00053-417:6(699-709)Online publication date: 1-Apr-2001
    • (2020)Energy Efficient On-Demand Dynamic Branch Prediction ModelsIEEE Transactions on Computers10.1109/TC.2019.295671069:3(453-465)Online publication date: 1-Mar-2020
    • (2019)A Branch Predictor Design to Improve Prediction Rate by Reducing Index Aliasing in Application Processors2019 12th International Conference on Information & Communication Technology and System (ICTS)10.1109/ICTS.2019.8850959(193-196)Online publication date: Jul-2019
    • (2018)A survey of techniques for dynamic branch predictionConcurrency and Computation: Practice and Experience10.1002/cpe.466631:1Online publication date: 2-Sep-2018
    • (2015)On-Demand Dynamic Branch PredictionIEEE Computer Architecture Letters10.1109/LCA.2014.233082014:1(50-53)Online publication date: 1-Jan-2015
    • (2012)A physical design study of fabscalar-generated superscalar cores2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC)10.1109/VLSI-SoC.2012.7332095(165-170)Online publication date: Oct-2012
    • (2012)A physical design study of fabscalar-generated superscalar cores2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC)10.1109/VLSI-SoC.2012.6379024(165-170)Online publication date: Oct-2012
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media