Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Hardware Optimizations of Dense Binary Hyperdimensional Computing: Rematerialization of Hypervectors, Binarized Bundling, and Combinational Associative Memory

Published: 10 October 2019 Publication History
  • Get Citation Alerts
  • Abstract

    Brain-inspired hyperdimensional (HD) computing models neural activity patterns of the very size of the brain’s circuits with points of a hyperdimensional space, that is, with hypervectors. Hypervectors are D-dimensional (pseudo)random vectors with independent and identically distributed (i.i.d.) components constituting ultra-wide holographic words: D=10,000 bits, for instance. At its very core, HD computing manipulates a set of seed hypervectors to build composite hypervectors representing objects of interest. It demands memory optimizations with simple operations for an efficient hardware realization. In this article, we propose hardware techniques for optimizations of HD computing, in a synthesizable open-source VHDL library, to enable co-located implementation of both learning and classification tasks on only a small portion of Xilinx UltraScale FPGAs: (1) We propose simple logical operations to rematerialize the hypervectors on the fly rather than loading them from memory. These operations massively reduce the memory footprint by directly computing the composite hypervectors whose individual seed hypervectors do not need to be stored in memory. (2) Bundling a series of hypervectors over time requires a multibit counter per every hypervector component. We instead propose a binarized back-to-back bundling without requiring any counters. This truly enables on-chip learning with minimal resources as every hypervector component remains binary over the course of training to avoid otherwise multibit components. (3) For every classification event, an associative memory is in charge of finding the closest match between a set of learned hypervectors and a query hypervector by using a distance metric. This operator is proportional to hypervector dimension (D), and hence may take O(D) cycles per classification event. Accordingly, we significantly improve the throughput of classification by proposing associative memories that steadily reduce the latency of classification to the extreme of a single cycle. (4) We perform a design space exploration incorporating the proposed techniques on FPGAs for a wearable biosignal processing application as a case study. Our techniques achieve up to 2.39× area saving, or 2,337× throughput improvement. The Pareto optimal HD architecture is mapped on only 18,340 configurable logic blocks (CLBs) to learn and classify five hand gestures using four electromyography sensors.

    References

    [1]
    Alessio Burrello, Kaspar Schindler, Luca Benini, and Abbas Rahimi. 2018. One-shot learning for iEEG seizure detection using end-to-end binary operations: Local binary patterns with hyperdimensional computing. In Proceedings of the Biomedical Circuits and Systems Conference (BioCAS’18), IEEE.
    [2]
    J. U. Chu, I. Moon, and M. S. Mun. 2006. A real-time EMG pattern recognition system based on linear-nonlinear feature projection for a multifunction myoelectric hand. IEEE Trans. Biomed. Eng. 53, 11 (Nov. 2006), 2232--2239.
    [3]
    Chris Eliasmith. 2013. How to Build a Brain: A Neural Architecture for Biological Cognition. Oxford Series on Cognitive Models and Architectures.
    [4]
    B. Emruli, R. W. Gayler, and F. Sandin. 2013. Analogical mapping and inference with binary spatter codes and sparse distributed memory. In Proceedings of the International Joint Conference on Neural Networks (IJCNN’13). 1--8.
    [5]
    Ross W. Gayler. 1998. Multiplicative binding, representation operators, and analogy. In Advances in Analogy Research: Integration of Theory and Data from the Cognitive, Computational, and Neural Sciences. D. Gentner, K. J. Holyoak, and B. N. Kokinov (Eds.). New Bulgarian University, Sofia, Bulgaria, 1--4. http://cogprints.org/502/
    [6]
    Ross W. Gayler. 2003. Vector symbolic architectures answer Jackendoff’s challenges for cognitive neuroscience. In Proceedings of the Joint International Conference on Cognitive Science (ICCS/ASCS’03). 133--138.
    [7]
    P. C. Huang and J. M. Rabaey. 2017. A bio-inspired analog gas sensing front end. IEEE Trans. Circ. Syst. I: Reg. Papers 64, 9 (Sept. 2017), 2611--2623.
    [8]
    M. Imani, D. Kong, A. Rahimi, and T. Rosing. 2017. VoiceHD: Hyperdimensional computing for efficient speech recognition. In Proceedings of the IEEE International Conference on Rebooting Computing (ICRC’17). 1--8.
    [9]
    M. Imani, A. Rahimi, D. Kong, T. Rosing, and J. M. Rabaey. 2017. Exploring hyperdimensional associative memory. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’17). 445--456.
    [10]
    Aditya Joshi, Johan T. Halseth, and Pentti Kanerva. 2017. Language geometry using random indexing. In Proceedings of the 10th International Conference on Quantum Interaction (QI’16), Jose Acacio de Barros, Bob Coecke, and Emmanuel Pothos (Eds.). Springer International Publishing, Cham, 265--274.
    [11]
    Pentti Kanerva. 1988. Sparse Distributed Memory. MIT Press, Cambridge. 88000685
    [12]
    Pentti Kanerva. 1996. Binary spatter-coding of ordered k-tuples. In Proceedings of the International Conference on Artificial Neural Networks (ICANN’96) (Lecture Notes in Computer Science), Vol. 1112. Springer, 869--873.
    [13]
    Pentti Kanerva. 2009. Hyperdimensional computing: An introduction to computing in distributed representation with high-dimensional random vectors. Cogn. Comput. 1, 2 (2009), 139--159.
    [14]
    Pentti Kanerva. 2010. What we mean when we say “What’s the dollar of Mexico?”: Prototypes and mapping in concept space. In Proceedings of the AAAI Fall Symposium: Quantum Informatics for Cognitive, Social, and Semantic Processes. 2--6.
    [15]
    Pentti Kanerva. 2014. Computing with 10,000-bit words. In Proceedings of the 52nd Annual Allerton Conference on Communication, Control, and Computing.
    [16]
    Pentti Kanerva, Jan Kristoferson, and Anders Holst. 2000. Random indexing of text samples for latent semantic analysis. In Proceedings of the 22nd Annual Conference of the Cognitive Science Society. Erlbaum, 1036. Retrieved from http://www.rni.org/kanerva/cogsci2k-poster.txt.
    [17]
    Mahdi Khezri and Mehran Jahed. 2007. Real-time intelligent pattern recognition algorithm for surface EMG signals. BioMed. Eng. OnLine 6, 1 (Dec. 2007), 45.
    [18]
    D. Kleyko, A. Rahimi, D. A. Rachkovskij, E. Osipov, and J. M. Rabaey. 2018. Classification and recall with binary hyperdimensional computing: Tradeoffs in choice of density and mapping characteristics. IEEE Trans. Neural Netw. Learn. Syst. (2018), 1--19.
    [19]
    Simon D. Levy, Suraj Bajracharya, and Ross W. Gayler. 2013. Learning behavior hierarchies via high-dimensional sensor projection. In Proceedings of the 12th AAAI Conference on Learning Rich Representations from Low-Level Sensors (AAAIWS’13). AAAI Press, 25--27. Retrieved from http://dl.acm.org/citation.cfm?id=2908225.2908230.
    [20]
    H. Li, T. F. Wu, A. Rahimi, K. S. Li, M. Rusch, C. H. Lin, J. L. Hsu, M. M. Sabry, S. B. Eryilmaz, J. Sohn, W. C. Chiu, M. C. Chen, T. T. Wu, J. M. Shieh, W. K. Yeh, J. M. Rabaey, S. Mitra, and H. S. P. Wong. 2016. Hyperdimensional computing with 3D VRRAM in-memory kernels: Device-architecture co-design for energy-efficient, error-resilient language recognition. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’16). 16.1.1--16.1.4.
    [21]
    A. Moin, A. Zhou, A. Rahimi, S. Benatti, A. Menon, S. Tamakloe, J. Ting, N. Yamamoto, Y. Khan, F. Burghardt, L. Benini, A. C. Arias, and J. M. Rabaey. 2018. An EMG gesture recognition system with flexible high-density sensors and brain-inspired high-dimensional classifier. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’18). 1--5.
    [22]
    Fabio Montagna, Abbas Rahimi, Simone Benatti, Davide Rossi, and Luca Benini. 2018. PULP-HD: Accelerating brain-inspired high-dimensional computing on a parallel ultra-low power platform. In Proceedings of the 55th Annual Design Automation Conference (DAC’18). ACM, New York, NY.
    [23]
    Fateme Rasti Najafabadi, Abbas Rahimi, Pentti Kanerva, and Jan M. Rabaey. 2016. Hyperdimensional computing for text classification. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE’16). Retrieved from https://www.date-conference.com/system/files/file/date16/ubooth/37923.pdf.
    [24]
    P. Neubert, S. Schubert, and P. Protzel. 2016. Learning vector symbolic architectures for reactive robot behaviours. In Proceedings of the International Conference on Intelligent Robots and Systems (IROS’16) and the Workshop on Machine Learning Methods for High-Level Cognitive Capabilities in Robotics.
    [25]
    T. A. Plate. 1995. Holographic reduced representations. IEEE Trans. Neural Netw. 6, 3 (1995), 623--641.
    [26]
    T. A. Plate. 2003. Holographic Reduced Representations. CLSI Publications.
    [27]
    D. A. Rachkovskij. 2017. Binary vectors for fast distance and similarity estimation. Cybernet. Syst. Anal. 53, 1 (Jan. 2017), 138--156.
    [28]
    Abbas Rahimi, Simone Benatti, Pentti Kanerva, Luca Benini, and Jan M. Rabaey. 2016. Hyperdimensional biosignal processing: A case study for EMG-based hand gesture recognition. In Proceedings of the IEEE International Conference on Rebooting Computing.
    [29]
    A. Rahimi, S. Datta, D. Kleyko, E. P. Frady, B. Olshausen, P. Kanerva, and J. M. Rabaey. 2017. High-dimensional computing as a nanoscalable paradigm. IEEE Trans. Circ. Syst. I: Reg. Papers 64, 9 (Sept. 2017), 2508--2521.
    [30]
    A. Rahimi, P. Kanerva, L. Benini, and J. M. Rabaey. 2018. Efficient biosignal processing using hyperdimensional computing: Network templates for combined learning and classification of ExG signals. Proc. IEEE (2018), 1--21.
    [31]
    Abbas Rahimi, Pentti Kanerva, José del R. Millán, and Jan M. Rabaey. 2017. Hyperdimensional computing for noninvasive brain--computer interfaces: Blind and one-shot classification of EEG error-related potentials. In Proceedings of the 10th ACM/EAI International Conference on Bio-inspired Information and Communications Technologies (BICT’17) (2017).
    [32]
    Abbas Rahimi, Pentti Kanerva, and Jan M. Rabaey. 2016. A robust and energy efficient classifier using brain-inspired hyperdimensional computing. In Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED’16).
    [33]
    Abbas Rahimi, Artiom Tchouprina, Pentti Kanerva, José del R. Millán, and Jan M. Rabaey. 2017. Hyperdimensional computing for blind and one-shot classification of EEG error-related potentials. Mobile Netw. Appl. (Oct. 2017).
    [34]
    O. Räsänen. 2015. Generating hyperdimensional distributed representations from continuous valued multivariate sensory input. In Proceedings of the 37th Annual Meeting of the Cognitive Science Society. 1943--1948.
    [35]
    O. Räsänen and S. Kakouros. 2014. Modeling dependencies in multiple parallel data streams with hyperdimensional computing. IEEE Signal Process. Lett. 21, 7 (July 2014), 899--903.
    [36]
    O. Räsänen and J. Saarinen. 2015. Sequence prediction with sparse distributed hyperdimensional coding applied to the analysis of mobile phone use patterns. IEEE Trans. Neural Netw. Learn. Syst. 99 (2015), 1878--1889.
    [37]
    R. Santoro, S. Roy, and O. Sentieys. 2007. Search for optimal five-neighbor FPGA-based cellular automata random number generators. In Proceedings of the International Symposium on Signals, Systems and Electronics. 343--346.
    [38]
    Stephen Wolfram. 1986. Random sequence generation by cellular automata. Adv. Appl. Math. 7, 2 (1986), 123--169.
    [39]
    T. F. Wu, H. Li, P. Huang, A. Rahimi, G. Hills, B. Hodson, W. Hwang, J. M. Rabaey, H. P. Wong, M. M. Shulaker, and S. Mitra. 2018. Hyperdimensional computing exploiting carbon nanotube FETs, resistive RAM, and their monolithic 3D integration. IEEE J. Solid-State Circ. 53, 11 (Nov. 2018), 3183--3196.
    [40]
    T. F. Wu, H. Li, P. C. Huang, A. Rahimi, J. M. Rabaey, H. S. P. Wong, M. M. Shulaker, and S. Mitra. 2018. Brain-inspired computing exploiting carbon nanotube FETs and resistive RAM: Hyperdimensional computing case study. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’18). 492--494.
    [41]
    Xilinx. 2017. UltraScale Architecture and Product Data Sheet: Overview. Retrieved from https://www.xilinx.com/support/documentation/data_sheets/ds890-ultrascale-overview.pdf.

    Cited By

    View all
    • (2024)Optical hyperdimensional soft sensing: speckle-based touch interface and tactile sensorOptics Express10.1364/OE.51380232:3(3209)Online publication date: 16-Jan-2024
    • (2024)Linear Codes for Hyperdimensional ComputingNeural Computation10.1162/neco_a_0166536:6(1084-1120)Online publication date: 10-May-2024
    • (2024)Error Resilient Hyperdimensional Computing Using Hypervector Encoding and Cross-Clustering2024 IEEE 42nd VLSI Test Symposium (VTS)10.1109/VTS60656.2024.10538955(1-7)Online publication date: 22-Apr-2024
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Journal on Emerging Technologies in Computing Systems
    ACM Journal on Emerging Technologies in Computing Systems  Volume 15, Issue 4
    Special Issue on HALO for Energy-Constrained On-Chip Machine Learning, Part 2 and Regular Papers
    October 2019
    226 pages
    ISSN:1550-4832
    EISSN:1550-4840
    DOI:10.1145/3365594
    • Editor:
    • Ramesh Karri
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 10 October 2019
    Accepted: 01 February 2019
    Received: 01 July 2018
    Revised: 01 November 2008
    Published in JETC Volume 15, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. FPGA
    2. Hyperdimensional computing
    3. binarized temporal bundling
    4. biosignals
    5. electromyography
    6. on-chip learning
    7. rematerialization
    8. single-cycle associative memory

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    • H2020
    • ETH Zurich Postdoctoral Fellowship program

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)126
    • Downloads (Last 6 weeks)11
    Reflects downloads up to 26 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Optical hyperdimensional soft sensing: speckle-based touch interface and tactile sensorOptics Express10.1364/OE.51380232:3(3209)Online publication date: 16-Jan-2024
    • (2024)Linear Codes for Hyperdimensional ComputingNeural Computation10.1162/neco_a_0166536:6(1084-1120)Online publication date: 10-May-2024
    • (2024)Error Resilient Hyperdimensional Computing Using Hypervector Encoding and Cross-Clustering2024 IEEE 42nd VLSI Test Symposium (VTS)10.1109/VTS60656.2024.10538955(1-7)Online publication date: 22-Apr-2024
    • (2024)Perceptron Theory Can Predict the Accuracy of Neural NetworksIEEE Transactions on Neural Networks and Learning Systems10.1109/TNNLS.2023.323738135:7(9885-9899)Online publication date: Jul-2024
    • (2024)VSA-SD: A Service Discovery Method Based on Vector Symbol Architecture for Low-Cost IoT System DevelopmentIEEE Transactions on Cloud Computing10.1109/TCC.2023.334451212:1(145-158)Online publication date: Jan-2024
    • (2024)Robust Clustering Using Hyperdimensional ComputingIEEE Open Journal of Circuits and Systems10.1109/OJCAS.2024.33815085(102-116)Online publication date: 2024
    • (2024)Hyperdimensional Computing With Multiscale Local Binary Patterns for Scalp EEG-Based Epileptic Seizure DetectionIEEE Internet of Things Journal10.1109/JIOT.2024.339549611:15(26046-26061)Online publication date: 1-Aug-2024
    • (2024)An Edge AI Accelerator Design Based on HDC Model for Real-time EEG-based Emotion Recognition System with RISC-V FPGA Platform2024 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS58744.2024.10558319(1-5)Online publication date: 19-May-2024
    • (2024)Advancing Hardware Implementation of Hyperdimensional Computing for Edge Intelligence2024 IEEE 6th International Conference on AI Circuits and Systems (AICAS)10.1109/AICAS59952.2024.10595942(169-173)Online publication date: 22-Apr-2024
    • (2023)On separating long- and short-term memories in hyperdimensional computingFrontiers in Neuroscience10.3389/fnins.2022.86756816Online publication date: 9-Jan-2023
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    HTML Format

    View this article in HTML Format.

    HTML Format

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media