Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article
Free access

Translation lookaside buffer consistency: a software approach

Published: 01 April 1989 Publication History

Abstract

We discuss the translation lookaside buffer (TLB) consistency problem for multiprocessors, and introduce the Mach shootdown algorithm for maintaining TLB consistency in software. This algorithm has been implemented on several multiprocessors, and is in regular production use. Performance evaluations establish the basic costs of the algorithm and show that it has minimal impact on application performance. As a result, TLB consistency does not pose an insurmountable obstacle to multiprocessors with several hundred processors. We also discuss hardware support options for TLB consistency ranging from a minor interrupt structure modification to complete hardware implementations. Features are identified in current hardware that compound the TLB consistency problem; removal or correction of these features can simplify and/or reduce the overhead of maintaining TLB consistency in software.

References

[1]
AT&T. UNiX System V/386 Programmer's Reference Manual. Prentice-Hall, Englewood Cliffs, NI, 1988.
[2]
R, Bisiani and A. Forin. Multilanguage Parallel Programming of Heterogeneous Machines. IEEE Trans. Comput., 37(8):930-945, August 1988.
[3]
S. Bose, E. Clarke, D. Long, and S. Michaylov. Parthenon: A Parallel Theorem Prover for Non-Horn Clauses. Technical Report CMU-CS-88-137, Computer Science Department, Carnegie Mellon University, Pittsburgh,PA, 1988.
[4]
W. Brantley, K. McAuliffe, and j. Weiss. RP3 Procesor- Memory Element. In Proceedings of the International Conference on Parallel Processing, pages 782-789, IEEE Computer Society, 1985.
[5]
R. Case and A. Padegs. Architecture of the IBM System/370, chapter 51, pages ~30-855. McGraw-Hill Book Company, New York, 1982.
[6]
S. Chatt~jee. Multiprocessor Cache Consistency, an annotated bibliography. To Appear.
[7]
D. Cherimn, P. Boyle, and G. Slavenburg. Comments on 'Coherency for Multiprocessor Virtual Addressed Caches' by James R. Goodman in ASPLOS II, October 1987. Computer Architecture News, 16(3):3-6, June 1988.
[8]
D. Cheriton, A. Gupta, P. Boyle, and H. Ooosen. The VMP Multiprocessor: Initial Experience, Refinements, and Performance Evaluation. In Conference Proceedings, The 15th Annual Jnternational Symposium on Computer Architecture, pages 410-421, ACM-SIGARCH/IEEE Computer Society, Honolulu, HI, May/June 1988.
[9]
D. Clark and I. Emer. Performance of the VAX 11/780 Translation Buffer: Simulation and Measurement. ACM Transactions on Computer Systems, 3(1):31-62, February 1985.
[10]
E. Cooper and R. Draves. C Threads. Computer Science Department, Carnegie Mellon University, Pittsburgh, P^, 1988. Programmer's manual for the Cthreads library.
[11]
W. Crowther, J. Goodhue, E. Start, R. Thomas, W. Milliken, and T. Blackadar. Performance Measurements on a 128-node Butterfly Parallel Processor. In Proceedings of the International Conference on Parallel Processing, pages 531-540, IEEE Computer Society, 1985.
[12]
VAX Hardware Handbook. Digital Equipment Corporation, Maynard, MA, 1982.
[13]
Encore Computer Corporation. Multimax 320 Multiprocessor System. Data Sheet.
[14]
R. Gingell, $. Moran, and W. Shannon. Virtual Memory Architecture in SunOS. In Proceedings of the Summer 1987 USENIX Conference, pages 81-94, USENiX Association, Phoenix, AZ, June 1987.
[15]
80386 Progratmner~ Reference Manual. Intel Corporation, Santa Clara, CA, 1986.
[16]
O. Kane. MiPS R2000 RISC Architecture. Prentice-Hall, Englewood Cliffs, NI, 1987.
[17]
MC88200 Users Manual. Motorola, Inc, Austin, TX, 1988.
[18]
Series 32000 Databook. National Semiconductor Corporation, Santa Clara, CA, 1986.
[19]
G. Pfister, et. al. The IBM Research Parallel Processor Prototype: Introduction and Architecture. In Proceedings of the International Conference on Parallel Processing, pages 764- 771, IEEE Computer Society, 1985.
[20]
C. Polychronopoulos. Compiler Optimizations for Enhancing Parallelism and Their Impact on Architecture Design. iEEE Trans. Comput., 37(8):991-1004, August 1988.
[21]
R. Rashicl, A. Tevardan, M. Young, D. Golub, R. Baron, D. Black, W. Bolosky, and J. Chew. Machine-Independent Virtual Memory Management for Paged Uniprocessor and Multiprocessor Architectures. IEEE Trans. Comput., 37(8):896-908, August 1988.
[22]
B. Rosenburg. Personal Communication. Member of the RP3 Group, IBM T. J. Watson Research Center.
[23]
A. Spector, R. Pausch, and G. Bruell. Camelot: A Flexible Distributed Transaction Processing System. In Proceedings of Spring Cornpcon 8& pages 432-437, IEEE, San Francisco, CA, February/March 1988.
[24]
A. Spector and K. Swedlow, eds. Guide to the Camelot Distributed Transaction Facility. Computer Science Department, Carnegie Mellon University, Pittsburgh, PA, 0.98(51){aleph} edition, 1988.
[25]
P. Teller, R. Kenner, and M. Snir. TLB Consistency on Highly Parallel Shared Memory Multiprocessors. In Proceedings, 21st Annual Hawaii International Conference on System Sci. ences, pages 184-192, IEEE Computer Society, Honolulu, HI, 1988.
[26]
A. Tevanian, R. Rashid, D. Golub, D. Black, E. Cooper, and M. Young. Much Threads and the UNIX Kernel: The Battle for Control. In Proceedings of the Summer 1987 USENIX Conference, pages 185-197, USENIX Association, Phoenix, AZ, June 1987.
[27]
A. Tevanian, R. Rashid, M. Young, D. Golub, M. Thompson, W. Bolosky, and R. Sanzi. A UNIX Interface for Shared Memory and Mapped Files under Mach. In Proceedings of the Summer 1987 USENIX Conference, pages 53-68, USENIX Association, Phoenix, AZ, June 1987.
[28]
A. Tevanian, Jr. Architecture-Independent Virtual Memory Management for Parallel and Distributed Environments: The Much Approach. PhD thesis, Carnegie Mellon University, Pittsburgh, PA, December 1987.
[29]
M. Thompson, J. Barton, T. Jermoluk, and J. Wagner. Translation Lookaside Buffer Synchronization in a Multiprocessor System. in Conference Proceedings, Winter 1988, USENIX Technical Conference, pages 297-302, USENIX Association, Dallas, TX, February 1988.
[30]
M. Young, A. Tevanian, R. Rashid, D. Oolub, J. Eppinger, J. Chew, W. Bolosky, D. Black, and R. Baron. The Duality of Memory and Communication in the Implementation of a Multiproeessor Operating System. In Proceedings of the Eleventh ACM Symposium on Operating System Principles, pages 63-76, ACM-SlGOPS, Austin, TX, November 1987.

Cited By

View all
  • (2023)FIMAR: Fast incremental memory acquisition and restoration system for temporal-dimension forensic analysisForensic Science International: Digital Investigation10.1016/j.fsidi.2023.30160346(301603)Online publication date: Sep-2023
  • (2021)Swift shadow paging (SSP): no write-protection but following TLB flushingProceedings of the 17th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments10.1145/3453933.3454012(29-42)Online publication date: 7-Apr-2021
  • (2021)Sound Verification Procedures for Temporal Properties of Infinite-State SystemsComputer Aided Verification10.1007/978-3-030-81688-9_16(337-360)Online publication date: 20-Jul-2021
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM SIGARCH Computer Architecture News
ACM SIGARCH Computer Architecture News  Volume 17, Issue 2
Special issue: Proceedings of ASPLOS-III: the third international conference on architecture support for programming languages and operating systems
April 1989
291 pages
ISSN:0163-5964
DOI:10.1145/68182
Issue’s Table of Contents
  • cover image ACM Conferences
    ASPLOS III: Proceedings of the third international conference on Architectural support for programming languages and operating systems
    April 1989
    303 pages
    ISBN:0897913000
    DOI:10.1145/70082
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 April 1989
Published in SIGARCH Volume 17, Issue 2

Check for updates

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)309
  • Downloads (Last 6 weeks)55
Reflects downloads up to 15 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2023)FIMAR: Fast incremental memory acquisition and restoration system for temporal-dimension forensic analysisForensic Science International: Digital Investigation10.1016/j.fsidi.2023.30160346(301603)Online publication date: Sep-2023
  • (2021)Swift shadow paging (SSP): no write-protection but following TLB flushingProceedings of the 17th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments10.1145/3453933.3454012(29-42)Online publication date: 7-Apr-2021
  • (2021)Sound Verification Procedures for Temporal Properties of Infinite-State SystemsComputer Aided Verification10.1007/978-3-030-81688-9_16(337-360)Online publication date: 20-Jul-2021
  • (2020)Ptlbmalloc2: Reducing TLB Shootdowns with High Memory Efficiency2020 IEEE Intl Conf on Parallel & Distributed Processing with Applications, Big Data & Cloud Computing, Sustainable Computing & Communications, Social Computing & Networking (ISPA/BDCloud/SocialCom/SustainCom)10.1109/ISPA-BDCloud-SocialCom-SustainCom51426.2020.00036(76-83)Online publication date: Dec-2020
  • (2019)Supporting Superpages and Lightweight Page Migration in Hybrid Memory SystemsACM Transactions on Architecture and Code Optimization10.1145/331013316:2(1-26)Online publication date: 9-Apr-2019
  • (2018)MASKACM SIGPLAN Notices10.1145/3296957.317316953:2(503-518)Online publication date: 19-Mar-2018
  • (2018)MASKProceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3173162.3173169(503-518)Online publication date: 19-Mar-2018
  • (2018)Help Your Busy Neighbors: Dynamic Multicasts over Static TopologiesEuro-Par 2017: Parallel Processing Workshops10.1007/978-3-319-75178-8_51(636-647)Online publication date: 8-Feb-2018
  • (2013)PS-TLBACM Transactions on Architecture and Code Optimization10.1145/2400682.24006879:4(1-21)Online publication date: 20-Jan-2013
  • (2011)DiDiProceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques10.1109/PACT.2011.65(340-349)Online publication date: 10-Oct-2011
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media