Export Citations
Save this search
Please login to be able to save your searches and receive alerts for new content matching your search criteria.
- research-articleJuly 2009
A parameterized mask model for lithography simulation
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 963–968https://doi.org/10.1145/1629911.1630158We formulate the mask modeling as a parametric model order reduction problem based on the finite element discretization of the Helmholtz equation. By using a new parametric mesh and a machine learning technique called Kernel Method, we convert the ...
- research-articleJuly 2009
ARMS - automatic residue-minimization based sampling for multi-point modeling techniques
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 951–956https://doi.org/10.1145/1629911.1630156This paper describes an automatic methodology for optimizing sample point selection for using in the framework of model order reduction (MOR). The procedure, based on the maximization of the dimension of the subspace spanned by the samples, iteratively ...
- research-articleJuly 2009
Architectural assessment of design techniques to improve speed and robustness in embedded microprocessors
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 947–950https://doi.org/10.1145/1629911.1630154This work investigates the interrelation of performance and robustness against variability in industrial microprocessor designs. A novel analysis technique for variation-sensitive hardware and two figures of merit to quantify the robustness of a design ...
- research-articleJuly 2009
GPU-based parallelization for fast circuit optimization
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 943–946https://doi.org/10.1145/1629911.1630153The progress of GPU (Graphics Processing Unit) technology opens a new avenue for boosting computing power. This work is an attempt to exploit GPU for accelerating VLSI circuit optimization. We propose GPU-based parallel computing techniques and apply ...
- research-articleJuly 2009
Register allocation for high-level synthesis using dual supply voltages
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 937–942https://doi.org/10.1145/1629911.1630152Reducing the power consumption of memory elements is known to be the most influential in minimizing total power consumption, since designs tend to use more memories these days. In this paper, we address a problem of high-level synthesis with the ...
-
- research-articleJuly 2009
Polynomial datapath optimization using partitioning and compensation heuristics
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 931–936https://doi.org/10.1145/1629911.1630151Datapath designs that perform polynomial computations over Z2n are used in many applications such as computer graphics and digital signal processing domains. As the market of such applications continues to grow, improvements in high-level synthesis and ...
- research-articleJuly 2009
A parameterized compositional multi-dimensional multiple-choice knapsack heuristic for CMP run-time management
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 917–922https://doi.org/10.1145/1629911.1630147Modern embedded systems typically contain chip-multiprocessors (CMPs) and support a variety of applications. Applications may run concurrently and can be started and stopped over time. Each application may typically have multiple feasible configurations,...
- research-articleJuly 2009
LICT: left-uncompressed instructions compression technique to improve the decoding performance of VLIW processors
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 903–906https://doi.org/10.1145/1629911.1630143Compressing program code compiled for VLIW processors to reduce the amount of memory is a necessary means to decrease costs. The main disadvantage of any code compression technique is the system performance penalty because of the extra time required to ...
- research-articleJuly 2009
A DVS-based pipelined reconfigurable instruction memory
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 897–902https://doi.org/10.1145/1629911.1630142Energy consumption is of significant concern in battery operated embedded systems. In the processors of such systems, the instruction cache consumes a significant fraction of the total energy. One of the most popular methods to reduce the energy ...
- research-articleJuly 2009
Optimum LDPC decoder: a memory architecture problem
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 891–896https://doi.org/10.1145/1629911.1630141This paper addresses a frequently overlooked problem: designing a memory architecture for an LDPC decoder. We analyze the requirements to support the codes defined in the IEEE 802.11n and 802.16e standards. We show a design methodology for a flexible ...
- research-articleJuly 2009
FPGA-based accelerator for the verification of leading-edge wireless systems
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 844–847https://doi.org/10.1145/1629911.1630126The design of communication systems becomes increasingly challenging as product complexity and cost pressures increase and as the time-to-market is shortened more than ever before. This paper presents a bit error rate tester (BERT) for the hardware-...
- research-articleJuly 2009
FPGA-targeted high-level binding algorithm for power and area reduction with glitch-estimation
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 838–843https://doi.org/10.1145/1629911.1630125Glitches (i.e. spurious signal transitions) are major sources of dynamic power consumption in modern FPGAs. In this paper, we present an FPGA-targeted, glitch-aware, high-level binding algorithm for power and area reduction, accomplished via dynamic ...
- research-articleJuly 2009
NoC topology synthesis for supporting shutdown of voltage islands in SoCs
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 822–825https://doi.org/10.1145/1629911.1630121In many Systems on Chips (SoCs), the cores are clustered in to voltage islands. When cores in an island are unused, the entire island can be shutdown to reduce the leakage power consumption. However, today, the interconnect architecture is a bottleneck ...
- research-articleJuly 2009
Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs: a system-level perspective
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 818–821https://doi.org/10.1145/1629911.1630120In this paper, we consider the case of network-on-chip (NoC) based multiple-processor systems-on-chip (MPSoCs) implemented using multiple voltage and frequency islands (VFIs) that rely on fine-grained dynamic voltage and frequency scaling (DVFS) for run-...
- research-articleJuly 2009
Simultaneous clock buffer sizing and polarity assignment for power/ground noise minimization
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 794–799https://doi.org/10.1145/1629911.1630115This work addresses the problem of minimizing power/ground noise in the clock tree synthesis. Contrary to the previous approaches which only make use of assigning polarities to clock buffers to reduce power/ground noise, our approach solves a new ...
- research-articleJuly 2009
Software-assisted hardware reliability: abstracting circuit-level challenges to the software stack
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 788–793https://doi.org/10.1145/1629911.1630114Power constrained designs are becoming increasingly sensitive to supply voltage noise. We propose a hardware-software collaborative approach to enable aggressive operating margins: a checkpoint-recovery mechanism corrects margin violations, while a run-...
- research-articleJuly 2009
An adaptive scheduling and voltage/frequency selection algorithm for real-time energy harvesting systems
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 782–787https://doi.org/10.1145/1629911.1630113In this paper we propose an adaptive scheduling and voltage/frequency selection algorithm which targets at energy harvesting systems. The proposed algorithm adjusts the processor operating frequency under the timing and energy constraints based on ...
- research-articleJuly 2009
Throughput optimal task allocation under thermal constraints for multi-core processors
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 776–781https://doi.org/10.1145/1629911.1630112It is known that temperature gradients and thermal hotspots affect the reliability of microprocessors. Temperature is also an important constraint when maximizing the performance of processors. Although DVFS and DFS can be used to extract higher ...
- research-articleJuly 2009
PiCAP: a parallel and incremental capacitance extraction considering stochastic process variation
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 764–769https://doi.org/10.1145/1629911.1630109It is unknown how to include stochastic process variation into fast-multipole-method (FMM) for a full chip capacitance extraction. This paper presents a parallel FMM extraction using stochastic polynomial expanded geometrical moments. It utilizes multi-...
- research-articleJuly 2009
A direct integral-equation solver of linear complexity for large-scale 3D capacitance and impedance extraction
DAC '09: Proceedings of the 46th Annual Design Automation ConferencePages 752–757https://doi.org/10.1145/1629911.1630107State-of-the-art integral-equation-based solvers rely on techniques that can perform a matrix-vector multiplication in O(N) complexity. In this work, a fast inverse of linear complexity was developed to solve a dense system of linear equations directly ...