Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

Abstract

The soft error assessment and mitigation literature are abundant, requiring a taxonomy to classify the different approaches. This book considers the definitions from Avižienis et al. [1] for fault, error, and failure. A fault is an event that may cause the internal state of the system to change, e.g., a radiation particle strike. When a fault affects the system’s internal state, it becomes an error. If the error causes a deviation of at least one of the system’s external states, then it is considered as a failure. To achieve compliance with safety and reliability standard requirements, it is of utmost importance to provide systems with appropriate mechanisms to tackle systematic, SEU, or SET faults, also known as soft errors. In this regard, this Chapter presents a literature review of the works related to this Book’s contributions to the soft error reliability assessment of ML models executing on resource-constrained IoT systems. First, Sect. 3.1 presents a review of fault injector frameworks implemented on the top of VPs. Next, Sect. 3.2 discusses some related works on soft error reliability assessment of ML models in different scopes. Finally, we distinguish this Book from the works found in the literature (Sects. 3.2.2 and 3.1.1).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
$34.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

eBook
USD 15.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 89.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 89.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The adopted RTL model must allow to access the register file and memory addressing.

References

  1. Avižienis, A., Laprie, J.C., Randell, B.: Dependability and its threats: a taxonomy. In: Building the Information Society, pp. 91–120 (2004). https://doi.org/10.1007/978-1-4020-8157-6_13

  2. Mansour, W., Velazco, R.: SEU fault-injection in VHDL-based processors: a case study. J. Electron. Test. 29(1), 87–94 (2013). https://doi.org/10.1007/s10836-013-5351-6

  3. Abbasitabar, H., Zarandi, H.R., Salamat, R.: Susceptibility analysis of LEON3 embedded processor against multiple event transients and upsets. In: International Conference on Computational Science and Engineering (CSE), pp. 548–553 (2012). https://doi.org/10.1109/ICCSE.2012.81

  4. Hari, S.K.S., Venkatagiri, R., Adve, S.V., Naeimi, H.: Ganges: gang error simulation for hardware resiliency evaluation. In: 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA), pp. 61–72 (2014). https://doi.org/10.1145/2678373.2665685

  5. de Aguiar Geissler, F., Kastensmidt, F.L., Souza, J.E.P.: Soft error injection methodology based on QEMU software platform. In: 2014 15th Latin American Test Workshop—LATW, pp. 1–5 (2014). https://doi.org/10.1109/LATW.2014.6841910

  6. Parasyris, K., Tziantzoulis, G., Antonopoulos, C.D., Bellas, N.: GemFI: A fault injection tool for studying the behavior of applications on unreliable substrates. In: International Conference on Dependable Systems and Networks (DSN), pp. 622–629 (2014). https://doi.org/10.1109/DSN.2014.96

  7. Kaliorakis, M., Tselonis, S., Chatzidimitriou, A., Foutris, N., Gizopoulos, D.: Differential fault injection on microarchitectural simulators. In: International Symposium on Workload Characterization (IISWC), pp. 172–182 (2015). https://doi.org/10.1109/IISWC.2015.28

  8. Tanikella, K., Koy, Y., Jeyapaul, R., Lee, K., Shrivastava, A.: GemV: a validated toolset for the early exploration of system reliability. In: 2016 IEEE 27th International Conference on Application-Specific Systems, Architectures and Processors (ASAP), pp. 159–163 (2016). https://doi.org/10.1109/ASAP.2016.7760786

  9. Didehban, M., Shrivastava, A.: nZDC: A compiler technique for near zero silent data corruption. In: Proceedings of the 53rd Annual Design Automation Conference (DAC), DAC ’16, pp. 48:1–48:6. ACM (2016). https://doi.org/10.1145/2897937.2898054

  10. Guan, Q., BeBardeleben, N., Wu, P., Eidenbenz, S., Blanchard, S., Monroe, L., Baseman, E., Tan, L.: Design, use and evaluation of P-FSEFI: a parallel soft error fault injection framework for emulating soft errors in parallel applications. In: Proceedings of the 9th EAI International Conference on Simulation Tools and Techniques, SIMUTOOLS’16, pp. 9–17. ICST (Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering) (2016). https://doi.org/10.5555/3021426.3021429

  11. Medeiros, G., Bortolon, F., Ost, L., Reis, R.: Evaluation of compiler optimization flags effects on soft error resiliency. In: Symposium on Integrated Circuits and Systems Design (SBCCI), pp. 1–6 (2018). https://doi.org/10.1109/SBCCI.2018.8533246

  12. Bandeira, V., Rosa, F., Reis, R., Ost, L.: Non-intrusive fault injection techniques for efficient soft error vulnerability analysis. In: 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC), pp. 123–128 (2019). https://doi.org/10.1109/VLSI-SoC.2019.892037

  13. Hari, S.K.S., Adve, S.V., Naeimi, H., Ramachandran, P.: Relyzer: Exploiting application-level fault equivalence to analyze application resiliency to transient faults. In: Proceedings of the Seventeenth International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 123–134. Association for Computing Machinery (2012). https://doi.org/10.1145/2150976.2150990

  14. Rosa, F., Kastensmidt, F.L., Reis, R., Ost, L.: A fast and scalable fault injection framework to evaluate multi/many-core soft error reliability. In: International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), pp. 211–214 (2015). https://doi.org/10.1109/DFT.2015.7315164

  15. Magnusson, P.S., Christensson, M., Eskilson, J., Forsgren, D., Ha allberg, G., Hogberg, J., Larsson, F., Moestedt, A., Werner, B.: Simics: A full system simulation platform. Computer 35(2), 50–58 (2002). https://doi.org/10.1109/2.982916

  16. Martin, M.M.K., Sorin, D.J., Beckmann, B.M., Marty, M.R., Xu, M., Alameldeen, A.R., Moore, K.E., Hill, M.D., Wood, D.A.: Multifacet’s general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Comput. Archit. News 33(4), 92–99 (2005). https://doi.org/10.1145/1105734.1105747

  17. Bellard, F.: QEMU, a fast and portable dynamic translator. In: Proceedings of the Annual Conference on USENIX Annual Technical Conference, vol. 41, issue 46, pp. 10–5555 (2005). https://doi.org/10.5555/1247360.1247401

  18. Binkert, N., Beckmann, B., Black, G., Reinhardt, S.K., Saidi, A., Basu, A., Hestness, J., Hower, D.R., Krishna, T., Sardashti, S., Sen, R., Sewell, K., Shoaib, M., Vaish, N., Hill, M.D., Wood, D.A.: The gem5 simulator. SIGARCH Comput. Arch. News 39(2), 1–7 (2011). https://doi.org/10.1145/2024716.2024718

  19. Patel, A., Afram, F., Chen, S., Ghose, K.: MARSS: A full system simulator for multicore x86 CPUs. In: Design Automation Conference (DAC), pp. 1050–1055 (2011). https://doi.org/10.1145/2024724.2024954

  20. Imperas: Open Virtual Platforms (OVP) (2021). http://www.ovpworld.org/

  21. Bailey, D., Barszcz, E., Barton, J., Browning, D., Carter, R., Dagum, L., Fatoohi, R., Frederickson, P., Lasinski, T., Schreiber, R., Simon, H., Venkatakrishnan, V., Weeratunga, S.: The NAS parallel benchmarks summary and preliminary results. In: Conference on Supercomputing (SC), pp. 158–165 (1991). https://doi.org/10.1145/125826.125925

  22. Rosa, F., Ost, L., Reis, R., Davidmann, S., Lapides, L.: Evaluation of multicore systems soft error reliability using virtual platforms. In: International New Circuits and Systems Conference (NEWCAS), pp. 85–88 (2017). https://doi.org/10.1109/NEWCAS.2017.8010111

  23. Imperas: DEV—Virtual Platform Development and Simulation (2021). https://www.imperas.com/dev-virtual-platform-development-and-simulation/

  24. da Rosa, F., Bandeira, V., Reis, R., Ost, L.: Extensive evaluation of programming models and ISAs impact on multicore soft error reliability. In: Design Automation Conference (DAC), pp. 1–6 (2018). https://doi.org/10.1145/3195970.3196050

  25. Lins, F.M., Tambara, L.A., Kastensmidt, F.L., Rech, P.: Register file criticality and compiler optimization effects on embedded microprocessor reliability. IEEE Trans. Nucl. Sci. 64(8), 2179–2187 (2017). https://doi.org/10.1109/TNS.2017.2705150

  26. Sangchoolie, B., Ayatolahi, F., Johansson, R., Karlsson, J.: A study of the impact of bit-flip errors on programs compiled with different optimization levels. In: European Dependable Computing Conference (EDCC), pp. 146–157 (2014). https://doi.org/10.1109/EDCC.2014.30

  27. Hoste, K., Eeckhout, L.: COLE: compiler optimization level exploration. In: International Symposium on Code Generation and Optimization (CGO), pp. 165–174 (2008). https://doi.org/10.1145/1356058.1356080

  28. Serrano-Cases, A., Morilla, Y., Martín-Holgado, P., Cuenca-Asensi, S., Martínez-Álvarez, A.: Non-intrusive automatic compiler-guided reliability improvement of embedded applications under proton irradiation. IEEE Trans. Nucl. Sci. 66(7), 1500–1509 (2019). https://doi.org/10.1109/TNS.2019.2912323

  29. Cho, H., Mirkhani, S., Cher, C.Y., Abraham, J.A., Mitra, S.: Quantitative evaluation of soft error injection techniques for robust system design. In: Design Automation Conference (DAC), pp. 1–10 (2013). https://doi.org/10.1145/2463209.2488859

  30. Schirmeier, H., Breddemann, M.: Quantitative cross-layer evaluation of transient-fault injection techniques for algorithm comparison. In: European Dependable Computing Conference (EDCC), pp. 15–22. Naples, Italy (2019). https://doi.org/10.1109/EDCC.2019.00016

  31. Schirmeier, H., Borchert, C., Spinczyk, O.: Avoiding pitfalls in fault-injection based comparison of program susceptibility to soft errors. In: 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, pp. 319–330. Rio de Janeiro, RJ, Brazil (2015). https://doi.org/10.1109/DSN.2015.44

  32. Chatzidimitriou, A., Bodmann, P., Papadimitriou, G., Gizopoulos, D., Rech, P.: Demystifying soft error assessment strategies on ARM CPUs: microarchitectural fault injection versus neutron beam experiments. In: International Conference on Dependable Systems and Networks (DSN), pp. 26–38 (2019). https://doi.org/10.1109/DSN.2019.00018

  33. Che, S., Boyer, M., Meng, J., Tarjan, D., Sheaffer, J.W., Lee, S.H., Skadron, K.: Rodinia: A benchmark suite for heterogeneous computing. In: International Symposium on Workload Characterization (IISWC), pp. 44–54 (2009). https://doi.org/10.1109/IISWC.2009.5306797

  34. Gustafsson, J., Betts, A., Ermedahl, A., Lisper, B.: The mälardalen WCET benchmarks: past, present and future. In: International Workshop on Worst-Case Execution Time Analysis (WCET), pp. 136–146 (2010). https://doi.org/10.4230/OASIcs.WCET.2010.136

  35. Abich, G., Garibotti, R., Bandeira, V., da Rosa, F., Gava, J., Bortolon, F., Medeiros, G., Moraes, F.G., Reis, R., Ost, L.: Evaluation of the soft error assessment consistency of a JIT-based virtual platform simulator. IET Comput. Digit. Tech. 15(2), 125–142 (2021). https://doi.org/10.1049/cdt2.12017

  36. da Rosa, F.R.: Early evaluation of multicore systems soft error reliability using virtual platforms. Ph.D. thesis, PGMICRO—UFRGS (2018). https://lume.ufrgs.br/handle/10183/181996

  37. Hao, C., Dotzel, J., Xiong, J., Benini, L., Zhang, Z., Chen, D.: Enabling design methodologies and future trends for edge AI: specialization and codesign. IEEE Des. Test 38(4), 7–26 (2021). https://doi.org/10.1109/MDAT.2021.3069952

  38. Lai, L., Suda, N., Chandra, V.: CMSIS-NN: Efficient neural network kernels for arm Cortex-M CPUs (2018). arXiv:1801.06601. https://doi.org/10.48550/arXiv.1801.06601

  39. Capotondi, A., Rusci, M., Fariselli, M., Benini, L.: CMix-NN: mixed low-precision CNN library for memory-constrained edge devices. IEEE Trans. Circuits Syst. II: Express Briefs 67(5), 871–875 (2020). https://doi.org/10.1109/TCSII.2020.2983648

  40. Brewer, R.M., Moran, S.L., Cox, J., Sierawski, B.D., McCurdy, M.W., Zhang, E.X., Iyer, S.S., Schrimpf, R.D., Alles, M.L., Reed, R.A.: The impact of proton-induced single events on image classification in a neuromorphic computing architecture. IEEE Trans. Nucl. Sci. 67(1), 108–115 (2019). https://doi.org/10.1109/TNS.2019.2957477

  41. Granat, R., Wagstaff, K.L., Bornstein, B., Tang, B., Turmon, M.: Simulating and detecting radiation-induced errors for onboard machine learning. In: 2009 Third IEEE International Conference on Space Mission Challenges for Information Technology, pp. 125–131 (2009). https://doi.org/10.1109/SMC-IT.2009.22

  42. Li, G., Pattabiraman, K., DeBardeleben, N.: Tensorfi: A configurable fault injector for tensorflow applications. In: 2018 IEEE International Symposium on Software Reliability Engineering Workshops (ISSREW), pp. 313–320 (2018). https://doi.org/10.1109/ISSREW.2018.00024

  43. Chen, Z., Li, G., Pattabiraman, K., DeBardeleben, N.: Binfi: An efficient fault injector for safety-critical machine learning systems. In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC), SC ’19, pp. 1–23. Association for Computing Machinery, New York, NY, USA (2019). https://doi.org/10.1145/3295500.3356177

  44. Libano, F., Rech, P., Tambara, L., Tonfat, J., Kastensmidt, F.: On the reliability of linear regression and pattern recognition feedforward artificial neural networks in FPGAs. IEEE Trans. Nucl. Sci. 65(1), 288–295 (2017). https://doi.org/10.1109/TNS.2017.2784367

  45. Salami, B., Unsal, O.S., Kestelman, A.C.: On the resilience of RTL NN accelerators: fault characterization and mitigation. In: 2018 30th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), pp. 322–329 (2018). https://doi.org/10.1109/CAHPC.2018.8645906

  46. Trindade, M.G., Coelho, A., Valadares, C., Viera, R.A., Rey, S., Cheymol, B., Baylac, M., Velazco, R., Bastos, R.P.: Assessment of a hardware-implemented machine learning technique under neutron irradiation. IEEE Trans. Nucl. Sci. 66(7), 1441–1448 (2019). https://doi.org/10.1109/TNS.2019.2920747

  47. Khoshavi, N., Broyles, C., Bi, Y.: A survey on impact of transient faults on BNN inference accelerators (2020). arXiv:2004.05915. https://doi.org/10.48550/arXiv.2004.05915

  48. Reagen, B., Gupta, U., Pentecost, L., Whatmough, P., Lee, S.K., Mulholland, N., Brooks, D., Wei, G.Y.: Ares: a framework for quantifying the resilience of deep neural networks. In: 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), pp. 1–6 (2018). https://doi.org/10.1109/DAC.2018.8465834

  49. dos Santos, F.F., Pimenta, P.F., Lunardi, C., Draghetti, L., Carro, L., Kaeli, D., Rech, P.: Analyzing and increasing the reliability of convolutional neural networks on GPUs. IEEE Trans. Reliab. 68(2), 663–677 (2018). https://doi.org/10.1109/TR.2018.2878387

  50. Ibrahim, Y., Wang, H., Bai, M., Liu, Z., Wang, J., Yang, Z., Chen, Z.: Soft error resilience of deep residual networks for object recognition. IEEE Access 8, 19490–19503 (2020). https://doi.org/10.1109/ACCESS.2020.2968129

  51. Li, G., Hari, S.K.S., Sullivan, M., Tsai, T., Pattabiraman, K., Emer, J., Keckler, S.W.: Understanding error propagation in deep learning neural network (DNN) accelerators and applications. In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, pp. 1–12 (2017). https://doi.org/10.1145/3126908.3126964

  52. Nethercote, N., Seward, J.: Valgrind: a framework for heavyweight dynamic binary instrumentation. ACM Sigplan Not. 42(6), 89–100 (2007). https://doi.org/10.1145/1273442.1250746

  53. Abadi, M., Barham, P., Chen, J., Chen, Z., Davis, A., Dean, J., Devin, M., Ghemawat, S., Irving, G., Isard, M., Kudlur, M., Levenberg, J., Monga, R., Moore, S., Murray, D.G., Steiner, B., Tucker, P., Vasudevan, V., Warden, P., Wicke, M., Yu, Y., Zheng, X.: Tensorflow: a system for large-scale machine learning. In: Proceedings of the 12th USENIX Conference on Operating Systems Design and Implementation, OSDI’16, pp. 265–283. USENIX Association, USA (2016). https://doi.org/10.48550/arXiv.1605.08695

  54. Deng, L.: The mnist database of handwritten digit images for machine learning research [best of the web]. IEEE Signal Process. Mag. 29(6), 141–142 (2012). https://doi.org/10.1109/MSP.2012.2211477

  55. Krizhevsky, A., Hinton, G., et al.: CIFAR-10/100—learning multiple layers of features from tiny images. Technical report, University of Toronto (2009). http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.222.9220&rep=rep1&type=pdf

  56. Umuroglu, Y., Fraser, N.J., Gambardella, G., Blott, M., Leong, P., Jahre, M., Vissers, K.: Finn: A framework for fast, scalable binarized neural network inference. In: Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA ’17, pp. 65–74. Association for Computing Machinery (2017). https://doi.org/10.1145/3020078.3021744

  57. Chollet, F., et al.: Keras: The python deep learning library. Astrophysics source code library pp. ascl–1806 (2018). URL https://github.com/fchollet/keras

  58. Al-Rfou, R., Alain, G., Almahairi, A., Angermueller, C., Bahdanau, D., Ballas, N., Bastien, F., Bayer, J., Belikov, A., Belopolsky, A., et al.: Theano: a Python framework for fast computation of mathematical expressions (2016). arXiv:abs/1605.02688. https://doi.org/10.48550/arXiv.1605.02688

  59. Hari, S.K.S., Tsai, T., Stephenson, M., Keckler, S.W., Emer, J.: Sassifi: an architecture-level fault injection tool for GPU application resilience evaluation. In: 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 249–258 (2017). https://doi.org/10.1109/ISPASS.2017.7975296

  60. Tiny-DNN: Tiny-DNN framework (2017). https://github.com/tiny-dnn/tiny-dnn

  61. Chen, Y.H., Emer, J., Sze, V.: Eyeriss: a spatial architecture for energy-efficient dataflow for convolutional neural networks. ACM SIGARCH Comput. Arch. News 44(3), 367–379 (2016). https://doi.org/10.1145/3007787.3001177

  62. Akopyan, F., Sawada, J., Cassidy, A., Alvarez-Icaza, R., Arthur, J., Merolla, P., Imam, N., Nakamura, Y., Datta, P., Nam, G., Taba, B., Beakes, M., Brezzo, B., Kuang, J.B., Manohar, R., Risk, W.P., Jackson, B., Modha, D.S.: Truenorth: design and tool flow of a 65 mw 1 million neuron programmable neurosynaptic chip. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 34(10), 1537–1557 (2015). https://doi.org/10.1109/TCAD.2015.2474396

  63. dos Santos, F.F., Navaux, P., Carro, L., Rech, P.: Impact of reduced precision in the reliability of deep neural networks for object detection. In: 2019 IEEE European Test Symposium (ETS), pp. 1–6 (2019). https://doi.org/10.1109/ETS.2019.8791554

  64. Trindade, M.G., Bastos, R.P., Garibotti, R., Ost, L., Letiche, M., Beaucour, J.: Assessment of machine learning algorithms for near-sensor computing under radiation soft errors. In: IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 1–4 (2020). https://doi.org/10.1109/ICECS49266.2020.9294938

  65. Azizimazreah, A., Gu, Y., Gu, X., Chen, L.: Tolerating soft errors in deep learning accelerators with reliable on-chip memory designs. In: 2018 IEEE International Conference on Networking, Architecture and Storage (NAS), pp. 1–10 (2018). https://doi.org/10.1109/NAS.2018.8515692

  66. Guan, H., Ning, L., Lin, Z., Shen, X., Zhou, H., Lim, S.H.: In-place zero-space memory protection for cnn. In: Proceedings of the 33rd International Conference on Neural Information Processing Systems. Curran Associates Inc., Red Hook, NY, USA (2019). https://doi.org/10.48550/arXiv.1910.14479

  67. Jasemi, M., Hessabi, S., Bagherzadeh, N.: Enhancing reliability of emerging memory technology for machine learning accelerators. IEEE Trans. Emerg. Top. Comput. pp. 1–7 (2020). https://doi.org/10.1109/TETC.2020.2984992

  68. Bosio, A., Bernardi, P., Ruospo, A., Sanchez, E.: A reliability analysis of a deep neural network. In: 2019 IEEE Latin American Test Symposium (LATS), pp. 1–6 (2019). https://doi.org/10.1109/LATW.2019.8704548

  69. Ping, L., Tan, J., Yan, K.: SERN: modeling and analyzing the soft error reliability of convolutional neural networks. In: Proceedings of the 2020 on Great Lakes Symposium on VLSI, pp. 445–450. Association for Computing Machinery, New York, NY, USA (2020). https://doi.org/10.1145/3386263.3406938

  70. Luza, L.M., Söderström, D., Tsiligiannis, G., Puchner, H., Cazzaniga, C., Sanchez, E., Bosio, A., Dilillo, L.: Investigating the impact of radiation-induced soft errors on the reliability of approximate computing systems. In: 2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), pp. 1–6 (2020). https://doi.org/10.1109/DFT50435.2020.9250865

  71. Corneliou, P., Nikolaou, P., Michael, M.K., Theocharides, T.: Fine-grained vulnerability analysis of resource constrained neural inference accelerators. In: 2021 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), pp. 1–6. IEEE (2021). https://doi.org/10.1109/DFT52944.2021.9568281

  72. Tabanelli, E., Tagliavini, G., Benini, L.: DNN is not all you need: parallelizing non-neural ML algorithms on ultra-low-power IoT processors (2021). https://doi.org/10.48550/arXiv.2107.09448

  73. Qi, X., Liu, C.: Enabling deep learning on IoT edge: approaches and evaluation. In: 2018 IEEE/ACM Symposium on Edge Computing (SEC), pp. 367–372 (2018). https://doi.org/10.1109/SEC.2018.00047

  74. Zhang, Y., Du, B., Zhang, L., Wu, J.: Parallel DNN inference framework leveraging a compact RISC-V ISA-based multi-core system. In: Proceedings of the 26th ACM SIGKDD International Conference on Knowledge Discovery & Data Mining, pp. 627–635 (2020). https://doi.org/10.1145/3394486.3403105

  75. Garofalo, A., Rusci, M., Conti, F., Rossi, D., Benini, L.: PULP-NN: accelerating quantized neural networks on parallel ultra-low-power RISC-V processors. Philos. Trans. R. Soc. A 378(2164), 1–21 (2020). https://doi.org/10.1098/rsta.2019.0155

  76. da Rosa, F.R., Garibotti, R., Ost, L., Reis, R.: Using machine learning techniques to evaluate multicore soft error reliability. IEEE Trans. Circuits Syst.-I: Regul. Pap. 66(6), 2151–2164 (2019). https://doi.org/10.1109/TCSI.2019.2906155

  77. Kastensmidt, F.L., Carro, L., da Luz Reis, R.A.: Fault-tolerance techniques for SRAM-based FPGAs, vol. 1. Springer, Berlin (2006). https://doi.org/10.1007/978-0-387-31069-5

  78. Avirneni, N.D.P., Somani, A.: Low overhead soft error mitigation techniques for high-performance and aggressive designs. IEEE Trans. Comput. 61(4), 488–501 (2011). https://doi.org/10.1109/TC.2011.31

  79. Mavis, D.G., Eaton, P.H.: Soft error rate mitigation techniques for modern microcircuits. In: 2002 IEEE International Reliability Physics Symposium, Proceedings, 40th Annual (Cat. No. 02CH37320), pp. 216–225. IEEE (2002). https://doi.org/10.1109/RELPHY.2002.996639

  80. Nicolescu, B., Velazco, R.: Detecting soft errors by a purely software approach: method, tools and experimental results. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 57–62 (2003). https://doi.org/10.1007/0-306-48709-8_4

  81. Benso, A., Chiusano, S., Prinetto, P., Tagliaferri, L.: A c/c++ source-to-source compiler for dependable applications. In: IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), pp. 71–78 (2000). https://doi.org/10.1109/ICDSN.2000.857517

  82. Rodrigues, G.S., Kastensmidt, F.L., Reis, R., Rosa, F., Ost, L.: Analyzing the impact of using pthreads versus OpenMP under fault injection in ARM Cortex-A9 dual-core. In: European Conference on Radiation and Its Effects on Components and Systems (RADECS), pp. 1–6 (2016). https://doi.org/10.1109/RADECS.2016.8093180

  83. Reis, G.A., Chang, J., Vachharajani, N., Rangan, R., August, D.I.: SWIFT: software implemented fault tolerance. In: International Symposium on Code Generation and Optimization (CGO), pp. 243–254 (2005). https://doi.org/10.1109/CGO.2005.34

  84. Oh, N., Shirvani, P.P., McCluskey, E.J.: Error detection by duplicated instructions in super-scalar processors. IEEE Trans. Reliab. 51(1), 63–75 (2002). https://doi.org/10.1109/24.994913

  85. Reis, G.A., Chang, J., August, D.I.: Automatic instruction-level software-only recovery. IEEE Micro 27(1), 36–47 (2007). https://doi.org/10.1109/DAC.2018.8465834

  86. Feng, S., Gupta, S., Ansari, A., Mahlke, S.: Shoestring: probabilistic soft error reliability on the cheap. ACM SIGARCH Comput. Arch. News 38(1), 385–396 (2010). https://doi.org/10.1145/1735970.1736063

  87. Feng, S., Gupta, S., Ansari, A., Mahlke, S.A., August, D.I.: Encore: low-cost, fine-grained transient fault recovery. In: IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 398–409 (2011). https://doi.org/10.1145/2155620.2155667

  88. Kuvaiskii, D., Oleksenko, O., Bhatotia, P., Felber, P., Fetzer, C.: Elzar: triple modular redundancy using intel AVX (practical experience report). In: IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), pp. 646–653 (2016). https://doi.org/10.1109/DSN.2016.65

  89. Didehban, M., Shrivastava, A., Lokam, S.R.D.: NEMESIS: a software approach for computing in presence of soft errors. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 297–304 (2017). https://doi.org/10.1109/ICCAD.2017.8203792

  90. Didehban, M., Lokam, S.R.D., Shrivastava, A.: InCheck: an in-application recovery scheme for soft errors. In: ACM/IEEE Design Automation Conference (DAC), pp. 1–6 (2017). https://doi.org/10.1145/3061639.3062265

  91. Mahdavinejad, M.S., Rezvan, M., Barekatain, M., Adibi, P., Barnaghi, P., Sheth, A.P.: Machine learning for internet of things data analysis: a survey. Digit. Commun. Netw. 4(3), 161–175 (2018). https://doi.org/10.1016/j.dcan.2017.10.002

  92. Amoh, J., Odame, K.M.: An optimized recurrent unit for ultra-low-power keyword spotting. ACM Interact., Mob., Wearable Ubiquitous Technol. 3(2) (2019). https://doi.org/10.1145/3328907

  93. Libano, F., Wilson, B., Anderson, J., Wirthlin, M.J., Cazzaniga, C., Frost, C., Rech, P.: Selective hardening for neural networks in FPGAs. IEEE Trans. Nucl. Sci. 66(1), 216–222 (2019). https://doi.org/10.1109/TNS.2018.2884460

  94. Kundu, S., Basu, K., Sadi, M., Titirsha, T., Song, S., Das, A., Guin, U.: Special session: reliability analysis for ML/AI hardware (2021). arXiv:2103.12166. https://doi.org/10.48550/arXiv.2103.12166

  95. Chen, Z., Li, G., Pattabiraman, K.: A low-cost fault corrector for deep neural networks through range restriction. In: 2021 51th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN) (2021). https://doi.org/10.1109/DSN48987.2021.00018

  96. Adam, K., Mohd, I.I., Younis, Y.M.: The impact of the soft errors in convolutional neural network on GPUs: Alexnet as case study. Procedia Comput. Sci. 182, 89–94 (2021). https://doi.org/10.1016/j.procs.2021.02.012

  97. Deng, J., Dong, W., Socher, R., Li, L., Kai, L., Fei-Fei, L.: Imagenet: a large-scale hierarchical image database. In: 2009 IEEE Conference on Computer Vision and Pattern Recognition, pp. 248–255. IEEE (2009). https://doi.org/10.1109/CVPR.2009.5206848

  98. Leveugle, R., Calvez, A., Maistri, P., Vanhauwaert, P.: Statistical fault injection: quantified error and confidence. In: Design, Automation and Test in Europe Conference (DATE), pp. 502–506 (2009). https://doi.org/10.1109/DATE.2009.5090716

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Geancarlo Abich .

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Abich, G., Ost, L., Reis, R. (2023). Related Works. In: Early Soft Error Reliability Assessment of Convolutional Neural Networks Executing on Resource-Constrained IoT Edge Devices. Synthesis Lectures on Engineering, Science, and Technology. Springer, Cham. https://doi.org/10.1007/978-3-031-18599-1_3

Download citation

Publish with us

Policies and ethics