Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

The gem5 simulator

Published: 31 August 2011 Publication History

Abstract

The gem5 simulation infrastructure is the merger of the best aspects of the M5 [4] and GEMS [9] simulators. M5 provides a highly configurable simulation framework, multiple ISAs, and diverse CPU models. GEMS complements these features with a detailed and exible memory system, including support for multiple cache coherence protocols and interconnect models. Currently, gem5 supports most commercial ISAs (ARM, ALPHA, MIPS, Power, SPARC, and x86), including booting Linux on three of them (ARM, ALPHA, and x86).
The project is the result of the combined efforts of many academic and industrial institutions, including AMD, ARM, HP, MIPS, Princeton, MIT, and the Universities of Michigan, Texas, and Wisconsin. Over the past ten years, M5 and GEMS have been used in hundreds of publications and have been downloaded tens of thousands of times. The high level of collaboration on the gem5 project, combined with the previous success of the component parts and a liberal BSD-like license, make gem5 a valuable full-system simulation tool.

References

[1]
Agarwal, N., Krishna, T., Peh, L.-S., and Jha, N. K. GARNET: A detailed on-chip network model inside a full-system simulator. In Proceedings of IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) (Apr. 2009), pp. 33--42.
[2]
Barnes, B., and Slice, J. SimNow: A fast and functionally accurate AMD X86-64 system simulator. Tutorial at the IEEE International Workload Characterization Symposium, 2005.
[3]
Bellard, F. QEMU, a fast and portable dynamic translator. In Proceedings of the USENIX Annual Technical Conference (2005), pp. 41--46.
[4]
Binkert, N. L., Dreslinski, R. G., Hsu, L. R., Lim, K. T., Saidi, A. G., and Reinhardt, S. K. The M5 Simulator: Modeling Networked Systems. IEEE Micro 26, 4 (Jul/Aug 2006), 52--60.
[5]
Black, G., Binkert, N., Reinhardt, S. K., and Saidi, A. Processor and System-on-Chip Simulation. Springer, 2010, ch. 5, \Modular ISAIndependent Full-System Simulation".
[6]
Kahng, A. B., Li, B., Peh, L.-S., and Samadi, K. ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In Proceedings of the Conference on Design, Automation and Test in Europe (2009), pp. 423--428.
[7]
Keltcher, C. N., McGrath, K. J., Ahmed, A., and Conway, P. The AMD Opteron Processor for Multiprocessor Servers. IEEE Micro 23, 2 (Mar/Apr 2003), 66--76.
[8]
Li, S., Ahn, J. H., Strong, R. D., Brockman, J. B., Tullsen, D. M., and Jouppi, N. P. Mc- PAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (2009), pp. 469--480.
[9]
Martin, M. M. K., Sorin, D. J., Beckmann, B. M., Marty, M. R., Xu, M., Alameldeen, A. R., Moore, K. E., Hill, M. D., and Wood, D. A. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Comput. Archit. News 33, 4 (2005), 92--99.
[10]
Marty, M. R., Bingham, J. D., Hill, M. D., Hu, A. J., Martin, M. M. K., and Wood, D. A. Improving multiple-CMP systems using token coherence. In Proceedings of the 11th Annual International Symposium on High-Performance Computer Architecture (HPCA) (2005), pp. 328--339.
[11]
Reinhardt, S. K., Hill, M. D., Larus, J. R., Lebeck, A. R., Lewis, J. C., and Wood, D. A. The Wisconsin Wind Tunnel: Virtual prototyping of parallel computers. In Proceedings of the 1993 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems (1993), pp. 48--60.

Cited By

View all
  • (2025)IntervalSim++: Enhanced Interval Simulation for Unbalanced Processor DesignsIEEE Computer Architecture Letters10.1109/LCA.2024.351491724:1(1-4)Online publication date: Jan-2025
  • (2025)Survey of CPU and memory simulators in computer architecture: A comprehensive analysis including compiler integration and emerging technology applicationsSimulation Modelling Practice and Theory10.1016/j.simpat.2024.103032138(103032)Online publication date: Jan-2025
  • (2025)Deep imperative mutations have less impactAutomated Software Engineering10.1007/s10515-024-00475-432:1Online publication date: 1-Jun-2025
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM SIGARCH Computer Architecture News
ACM SIGARCH Computer Architecture News  Volume 39, Issue 2
May 2011
52 pages
ISSN:0163-5964
DOI:10.1145/2024716
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 31 August 2011
Published in SIGARCH Volume 39, Issue 2

Check for updates

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)1,148
  • Downloads (Last 6 weeks)136
Reflects downloads up to 03 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2025)IntervalSim++: Enhanced Interval Simulation for Unbalanced Processor DesignsIEEE Computer Architecture Letters10.1109/LCA.2024.351491724:1(1-4)Online publication date: Jan-2025
  • (2025)Survey of CPU and memory simulators in computer architecture: A comprehensive analysis including compiler integration and emerging technology applicationsSimulation Modelling Practice and Theory10.1016/j.simpat.2024.103032138(103032)Online publication date: Jan-2025
  • (2025)Deep imperative mutations have less impactAutomated Software Engineering10.1007/s10515-024-00475-432:1Online publication date: 1-Jun-2025
  • (2024)Improving Multicore Architectures by Selective Value Prediction of High-Latency Arithmetic InstructionsAdvances in Electrical and Computer Engineering10.4316/AECE.2024.0200724:2(61-72)Online publication date: 2024
  • (2024)PIMCoSim: Hardware/Software Co-Simulator for Exploring Processing-in-Memory ArchitecturesElectronics10.3390/electronics1323479513:23(4795)Online publication date: 5-Dec-2024
  • (2024)Architectural and Technological Approaches for Efficient Energy Management in Multicore ProcessorsComputers10.3390/computers1304008413:4(84)Online publication date: 22-Mar-2024
  • (2024)RVCE-FAL: A RISC-V Scalar-Vector Custom Extension for Faster FALCON Digital Signature2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546713(1-6)Online publication date: 25-Mar-2024
  • (2024)An analysis of cache configuration’s impacts on the miss rate of big data applications using gem5Serbian Journal of Electrical Engineering10.2298/SJEE2402217D21:2(217-234)Online publication date: 2024
  • (2024)An open-source simulation platform for benchmarking geo-distributed data center schedulersSimulation10.1177/00375497241241340100:11(1085-1100)Online publication date: 1-Nov-2024
  • (2024)SafeTI: A Hardware Traffic Injector for Complex MPSoC Platform Validation and CharacterizationACM Transactions on Design Automation of Electronic Systems10.1145/370391030:1(1-25)Online publication date: 13-Nov-2024
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media