Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

A Case for Genome Analysis Where Genomes Reside

  • Conference paper
  • First Online:
Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2023)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 14385))

Included in the following conference series:

Abstract

Genome analysis, such as studying human genomics, critically impacts various aspects of human life. These analyses involve diverse species and experience a surge in the data required to be dealt with. However, extant computer systems grapple with inherent limitations in processing genomic data, facing issues such as excessive data movement, suboptimal design for high parallelism, and optimization for high FLOPs, which are less suited for genomic analysis. In this paper, we argue that these challenges may well be addressed through the application of the Computation-In-Memory (CIM) paradigm, an approach well-aligned with the computational characteristics of genomic data. We advocate for an exploration of CIM ’s viability for kernels and functions within genome analysis pipelines. Such a CIM design processes genomes where it makes sense, potentially where genomes reside, which can be in different levels of memory units, e.g., storage, memory, and caches. Considering the inherent heterogeneity of contemporary genome analysis systems, the integration of a cost-effective CIM substrate could be conceivable. Nonetheless, we acknowledge that prior to this vision’s realization, critical groundwork in data mapping, execution flow, and operations of genomic kernels on such a system must first be established.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
$34.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Moore’s law states that the number of transistors on a chip will double approximately every two years. This exponential growth leads to an increase in computational power [25].

References

  1. Aga, S., Jeloka, S., Subramaniyan, A., Narayanasamy, S., Blaauw, D., Das, R.: Compute caches. In: 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA), pp. 481–492 (2017). https://doi.org/10.1109/HPCA.2017.21

  2. Ahn, J., Hong, S., Yoo, S., Mutlu, O., Choi, K.: A scalable processing-in-memory accelerator for parallel graph processing. In: 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA), pp. 105–117 (2015). https://doi.org/10.1145/2749469.2750386

  3. Alkan, C., et al.: Personalized copy-number and segmental duplication maps using next-generation sequencing. Nat. Genet. 41, 1061–1067 (2009). https://doi.org/10.1038/ng.437

    Article  Google Scholar 

  4. Ashley, E.A.: Towards precision medicine. Nat. Rev. Genet. 17(9), 507–522 (2016)

    Article  Google Scholar 

  5. Barba, M., Czosnek, H., Hadidi, A.: Cost in US Dollars per Raw Megabase of DNA Sequence. https://www.genome.gov/about-genomics/fact-sheets/DNA-Sequencing-Costs-Data

  6. Bloom, J., et al.: Massively scaled-up testing for SARS-CoV-2 RNA via next-generation sequencing of pooled and barcoded nasal and saliva samples. Nat. Biomed. Eng. 5, 1–9 (2021). https://doi.org/10.1038/s41551-021-00754-5

    Article  Google Scholar 

  7. Chi, P., et al.: PRIME: a novel processing-in-memory architecture for neural network computation in ReRAM-based main memory. In: 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA), pp. 27–39 (2016). https://doi.org/10.1109/ISCA.2016.13

  8. Chin, L., Andersen, J., Futreal, P.: Cancer genomics: from discovery science to personalized medicine. Nat. Med. 17, 297–303 (2011). https://doi.org/10.1038/nm.2323

    Article  Google Scholar 

  9. Clark, M.M., et al.: Diagnosis of genetic diseases in seriously ill children by rapid whole-genome sequencing and automated phenotyping and interpretation. Sci. Transl. Med. 11(489), eaat6177 (2019)

    Google Scholar 

  10. Drumond, M., et al.: The mondrian data engine. In: 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA), pp. 639–651 (2017). https://doi.org/10.1145/3079856.3080233

  11. Ellegren, H.: Genome sequencing and population genomics in non-model organisms. Trends Ecol. Evol. 29(1), 51–63 (2014). https://doi.org/10.1016/j.tree.2013.09.008. https://www.sciencedirect.com/science/article/pii/S0169534713002310

  12. Ferreira, J.D., et al.: pLUTo: enabling massively parallel computation in DRAM via lookup tables. In: 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 900–919 (2022). https://doi.org/10.1109/MICRO56248.2022.00067

  13. Fujiki, D., Mahlke, S., Das, R.: Duality cache for data parallel acceleration. In: Proceedings of the 46th International Symposium on Computer Architecture, ISCA 2019, pp. 397–410. Association for Computing Machinery (2019). https://doi.org/10.1145/3307650.3322257

  14. G. V. RESEARCH: Metagenomics market size, share and trends analysis report by product (sequencing and data analytics), by technology (sequencing, function), by application (environmental), and segment forecasts, pp. 2018–2025 (2017)

    Google Scholar 

  15. Gao, F., Tziantzioulis, G., Wentzlaff, D.: ComputeDRAM: In-Memory Compute Using Off-the-Shelf DRAMs. In: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 1952, pp. 100–113. Association for Computing Machinery, New York (2019). https://doi.org/10.1145/3352460.3358260

  16. Ginsburg, G., Phillips, K.: Precision medicine: from science to value. Health Aff. 37, 694–701 (2018). https://doi.org/10.1377/hlthaff.2017.1624

    Article  Google Scholar 

  17. Ginsburg, G., Willard, H.: Genomic and personalized medicine: foundations and applications. Transl, Res.: J. Lab. Clin. Med. 154, 277–87 (2009). https://doi.org/10.1016/j.trsl.2009.09.005

    Article  Google Scholar 

  18. Gokhale, M., Holmes, B., Iobst, K.: Processing in memory: the Terasys massively parallel PIM array. Computer 28(4), 23–31 (1995). https://doi.org/10.1109/2.375174

    Article  Google Scholar 

  19. Hall, M., et al.: Mapping irregular applications to DIVA, a PIM-based data-intensive architecture. In: Proceedings of the 1999 ACM/IEEE Conference on Supercomputing, SC 1999, pp. 57-es. Association for Computing Machinery, New York (1999). https://doi.org/10.1145/331532.331589. https://doi-org.tudelft.idm.oclc.org/10.1145/331532.331589

  20. Haron, N.Z., Hamdioui, S.: Why is CMOS scaling coming to an END? In: 2008 3rd International Design and Test Workshop, pp. 98–103 (2008). https://doi.org/10.1109/IDT.2008.4802475

  21. Kingsmore, S., et al.: A genome sequencing system for universal newborn screening, diagnosis, and precision medicine for severe genetic diseases. Am. J. Hum. Genet. 109, 1605–1619 (2022). https://doi.org/10.1016/j.ajhg.2022.08.003

    Article  Google Scholar 

  22. Le, V., Diep, B.: Selected insights from application of whole genome sequencing for outbreak investigations. Curr. Opin. Crit. Care 19, 432 (2013). https://doi.org/10.1097/MCC.0b013e3283636b8c

    Article  Google Scholar 

  23. Li, S., Niu, D., Malladi, K.T., Zheng, H., Brennan, B., Xie, Y.: DRISA: a DRAM-based reconfigurable in-situ accelerator. In: Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-50 2017, pp. 288–301. Association for Computing Machinery, New York (2017). https://doi.org/10.1145/3123939.3123977, https://doi-org.tudelft.idm.oclc.org/10.1145/3123939.3123977

  24. Li, S., Xu, C., Zou, Q., Zhao, J., Lu, Y., Xie, Y.: Pinatubo: a processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories. In: 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2016). https://doi.org/10.1145/2897937.2898064

  25. Moore, G.E.: Cramming more components onto integrated circuits, Reprinted from Electronics, volume 38, number 8, April 19, 1965, pp. 114 ff. IEEE Solid-State Circ. Soc. Newsl. 11(3), 33–35 (2006). https://doi.org/10.1109/N-SSC.2006.4785860

  26. Nikolayevskyy, V., Kranzer, K., Niemann, S., Drobniewski, F.: Whole genome sequencing of M.tuberculosis for detection of recent transmission and tracing outbreaks: a systematic review. Tuberculosis 98, 77-85 (2016). https://doi.org/10.1016/j.tube.2016.02.009

  27. Patterson, D., et al.: A case for intelligent RAM. IEEE Micro 17(2), 34–44 (1997). https://doi.org/10.1109/40.592312

    Article  Google Scholar 

  28. Seshadri, V., et al.: Ambit: in-memory accelerator for bulk bitwise operations using commodity DRAM technology. In: Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture,MICRO-50 2017, pp. 273–287. Association for Computing Machinery, New York (2017). https://doi.org/10.1145/3123939.3124544

  29. Shahroodi, T., et al.: Lightspeed binary neural networks using optical phase-change materials. In: 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1–2 (2023). https://doi.org/10.23919/DATE56975.2023.10137229

  30. Shahroodi, T., Miao, M., Zahedi, M., Wong, S., Hamdioui, S.: RattlesnakeJake: a fast and accurate pre-alignment filter suitable for computation-in-memory. In: Embedded Computer Systems: Architectures, Modeling, and Simulation: 23rd International Conference, SAMOS (2023)

    Google Scholar 

  31. Shahroodi, T., Miao, M., Zahedi, M., Wong, S., Hamdioui, S.: SieveMem: a computation-in-memory architecture for fast and accurate pre-alignment. In: ASAP (2023)

    Google Scholar 

  32. Shahroodi, T., et al.: Demeter: a fast and energy-efficient food profiler using hyperdimensional computing in memory. IEEE Access 10, 82493–82510 (2022). https://doi.org/10.1109/ACCESS.2022.3195878

    Article  Google Scholar 

  33. Shahroodi, T., Zahedi, M., Singh, A., Wong, S., Hamdioui, S.: KrakenOnMem: a memristor-augmented HW/SW framework for taxonomic profiling. In: Proceedings of the 36th ACM International Conference on Supercomputing, ICS 2022, Association for Computing Machinery, New York (2022). https://doi.org/10.1145/3524059.3532367

  34. Stone, H.S.: A logic-in-memory computer. IEEE Trans. Comput. C-19(1), 73–78 (1970). https://doi.org/10.1109/TC.1970.5008902

  35. Torrellas, J.: FlexRAM: toward an advanced intelligent memory system: a retrospective paper. In: 2012 IEEE 30th International Conference on Computer Design (ICCD), pp. 3–4 (2012). https://doi.org/10.1109/ICCD.2012.6378607

  36. Wetterstrand KA.: DNA Sequencing Costs: Data from the NHGRI Genome Sequencing Program (GSP). https://www.genome.gov/sequencingcostsdata

  37. Wooley, J., Godzik, A., Friedberg, I.: A primer on metagenomics. PLoS Comput. Biol. 6, e1000667 (2010). https://doi.org/10.1371/journal.pcbi.1000667

    Article  Google Scholar 

  38. Zhang, M., et al.: GraphP: reducing communication for PIM-based graph processing with efficient data partition. In: 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA), pp. 544–557 (2018). https://doi.org/10.1109/HPCA.2018.00053

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Taha Shahroodi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Shahroodi, T., Wong, S., Hamdioui, S. (2023). A Case for Genome Analysis Where Genomes Reside. In: Silvano, C., Pilato, C., Reichenbach, M. (eds) Embedded Computer Systems: Architectures, Modeling, and Simulation. SAMOS 2023. Lecture Notes in Computer Science, vol 14385. Springer, Cham. https://doi.org/10.1007/978-3-031-46077-7_30

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-46077-7_30

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-46076-0

  • Online ISBN: 978-3-031-46077-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics