Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1065579.1065662acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Robust gate sizing by geometric programming

Published: 13 June 2005 Publication History

Abstract

We present an efficient optimization scheme for gate sizing in the presence of process variations. Using a posynomial delay model, the delay constraints are modified to incorporate uncertainty in the transistor widths and effective channel lengths due to the process variations. An uncertainty ellipsoid method is used to model the random parameter variations. Spatial correlations of intra-die width and channel length variations are incorporated in the optimization procedure. The resulting optimization problem is relaxed to be a Geometric Program and is efficiently solved using convex optimization tools. The effectiveness of our robust gate sizing scheme is demonstrated by applying the optimization on the ISCAS '85 benchmark circuits and testing the optimized circuits by performing Monte Carlo simulations to model the process variations. By varying the size of the uncertainty ellipsoids, a trade-off between area and robustness is explored. Experimental results show that the timing yield of the robustly optimized circuits improves manifold over the traditional deterministically sized circuits. As compared to the worst-case design, the robust gate sizing solution having the same area, has fewer timing violations.

References

[1]
J. Fishburn and A. Dunlop, "TILOS: A Posynomial Programming Approach to Transistor Sizing," in Proc. IEEE/ACM ICCAD, pp. 326--328, 1985.
[2]
S. Sapatnekar, V. B. Rao, P. M. Vaidya, and S. M. Kang, "An Exact Solution to the Transistor Sizing Problem for CMOS Circuits Using Convex Optimization," in IEEE Trans. on CAD, vol. 12, pp. 1621--1634, Nov 1993.
[3]
K. Kasamsetty, M. Ketkar, and S. S. Sapatnekar, "A New Class of Convex Functions for Delay Modeling and their Application to the Transistor Sizing Problem," in IEEE Trans. on CAD, vol. 19, pp. 779--788, Jul 1998.
[4]
X. Bai, C. Visweswariah, P. N. Strenski, and D. J. Hathaway, "Uncertainty-Aware Circuit Optimization," in Proc. ACM/IEEE DAC, pp. 58--63, 2002.
[5]
S. Raj, S. B. K. Vrudhala, and J. Wang, "A Methodology to Improve Timing Yield in the Presence of Process Variations," in Proc. ACM/IEEE DAC, pp. 448--453, 2004.
[6]
S. H. Choi, B. C. Paul, and K. Roy, "Novel Sizing Algorithm for Yield Improvement under Process Variation in Nanometer Technology," in Proc. ACM/IEEE DAC, pp. 454--459, 2004.
[7]
E. T. A. F. Jacobs and M. R. C. M. Berkelaar, "Gate Sizing Using a Statistical Delay Model," in Proc. IEEE DATE, pp. 283--291, 2000.
[8]
S. Boyd and L. Vandenberghe, Convex Optimization. Cambridge University Press, Cambridge, UK, 2004.
[9]
R. A. Johnson and D. W. Wichern, Applied Multivariate Statistical Analysis. Prentice Hall, Upper Saddle River, NJ, 2002.
[10]
K. L. Hsiung, S. J. Kim and S. Boyd, "Robust Geometric Programming via Piecewise Linear Approximation," 2003. Submitted to Mathematical Programming, available at http://www.stanford.edu/~boyd/rgp.html.
[11]
H. L. A-Malek and A.-K. S. O. Hassan, "The Ellipsoidal Technique for Design centering and Region Approximation," in IEEE Trans. on CAD, vol. 10, pp. 1006--1014, Aug 1991.
[12]
S. Sapatnekar, P. M. Vaidya, and S. M. Kang, "Convexity-based Algorithms for Design Centering," in IEEE Trans. on CAD, vol. 13, pp. 1536--1549, Dec 1994.
[13]
H. Chang and S. S. Sapatnekar, "Statistical Tming Analysis Considering Spatial Correlations Using a Single PERT-like Traversal," in Proc. IEEE/ACM ICCAD, pp. 621--625, 2003.
[14]
A. Agarwal, D. Blaauw, and V. Zoltov, "Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations," in Proc. IEEE/ACM ICCAD, pp. 900--907, 2003.
[15]
S. Nassif, "Delay Variability: Sources, Impact and Trends," in Proc. ISSCC, pp. 368--369, 2000.
[16]
Available at http://www.mosek.com.
[17]
"TSMC: 180nm Test Data." Available at http://www.mosis.org/Technical/Testdata/tsmc-018-prm.html.
[18]
A. Caldwell, A. B. Kahng and I. Markov, "Capo: a largescale fixed-die placer." available at http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/Placement.

Cited By

View all
  • (2023)ECO-GNN: Signoff Power Prediction Using Graph Neural Networks with Subgraph ApproximationACM Transactions on Design Automation of Electronic Systems10.1145/356994228:4(1-22)Online publication date: 17-May-2023
  • (2020)A fast learning-driven signoff power optimization frameworkProceedings of the 39th International Conference on Computer-Aided Design10.1145/3400302.3415711(1-9)Online publication date: 2-Nov-2020
  • (2017)Manufacturing SolutionsDependable Multicore Architectures at Nanoscale10.1007/978-3-319-54422-9_4(107-153)Online publication date: 30-Aug-2017
  • Show More Cited By

Index Terms

  1. Robust gate sizing by geometric programming

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '05: Proceedings of the 42nd annual Design Automation Conference
    June 2005
    984 pages
    ISBN:1595930582
    DOI:10.1145/1065579
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 13 June 2005

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. gate sizing
    2. geometric program
    3. optimization
    4. posynomial
    5. uncertainty ellipsoid

    Qualifiers

    • Article

    Conference

    DAC05
    Sponsor:
    DAC05: The 42nd Annual Design Automation Conference 2005
    June 13 - 17, 2005
    California, Anaheim, USA

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)18
    • Downloads (Last 6 weeks)5
    Reflects downloads up to 03 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)ECO-GNN: Signoff Power Prediction Using Graph Neural Networks with Subgraph ApproximationACM Transactions on Design Automation of Electronic Systems10.1145/356994228:4(1-22)Online publication date: 17-May-2023
    • (2020)A fast learning-driven signoff power optimization frameworkProceedings of the 39th International Conference on Computer-Aided Design10.1145/3400302.3415711(1-9)Online publication date: 2-Nov-2020
    • (2017)Manufacturing SolutionsDependable Multicore Architectures at Nanoscale10.1007/978-3-319-54422-9_4(107-153)Online publication date: 30-Aug-2017
    • (2016)Efficient Algorithms for Discrete Gate Sizing and Threshold Voltage Assignment Based on an Accurate Analytical Statistical Yield GradientACM Transactions on Design Automation of Electronic Systems10.1145/289681921:4(1-27)Online publication date: 18-May-2016
    • (2016)Yield-driven power-delay-optimal CMOS full-adder design complying with automotive product specifications of PVT variations and NBTI degradationsJournal of Computational Electronics10.1007/s10825-016-0878-215:4(1424-1439)Online publication date: 1-Dec-2016
    • (2016)Optimal transistor sizing for maximum yield in variation-aware standard cell designInternational Journal of Circuit Theory and Applications10.1002/cta.216744:7(1400-1424)Online publication date: 1-Jul-2016
    • (2015)Sizing Digital Circuits Using Convex Optimization TechniquesComputational Intelligence in Digital and Network Designs and Applications10.1007/978-3-319-20071-2_1(3-32)Online publication date: 15-Jul-2015
    • (2014)Variation-Aware Geometric Programming Models for the Clock Network Buffer Sizing ProblemIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2013.229306733:4(532-545)Online publication date: 1-Apr-2014
    • (2014)Statistical power optimization of deep-submicron digital CMOS circuits based on structured perceptron2014 International Symposium on Integrated Circuits (ISIC)10.1109/ISICIR.2014.7029446(95-98)Online publication date: Dec-2014
    • (2014)A register clustering algorithm for low power clock tree synthesis2014 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS.2014.6865147(389-392)Online publication date: Jun-2014
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media