Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Energy aware memory architecture configuration

Published: 29 September 2004 Publication History
  • Get Citation Alerts
  • Abstract

    In the context of battery-driven embedded systems, reducing energy while maintaining performance is one of today's challenges. The on-chip memory count for a great part of the whole system consumption, especially for images and video processing applications that make heavy use of large memory data size.In this paper, we present new technique for efficiently exploiting on-chip memory space (cache, scrathpad) for a specific application to reduce the energy consumption without loss of performance. We configure and compare the impact of three different memory architectures on the energy consumption. The first one is composed of main memory with cache, in the second architecture we find a main memory and scratchpad memory and in the last architecture we combine both cache and scratchpad with the main memory. We show the effectiveness of the last architecture and a saving about 35% in energy consumption.

    References

    [1]
    F. Catthoor, F. Franssen, S. Wuytack, L. Nachtergaele, H. De Man, "Global Communication and Memory Optimizing Transformations for Low Power Signal Processing Systems", Workshop on VLSI Signal Processing, Oct 1994.
    [2]
    P. Shivakumar, N. Jouppi, "Cacti 3.0: An Integrted Cache Timing, Power and Area Model", Technical Repport, Compaq, Western Reaserch Laboratory, august 2001.
    [3]
    M. Kamble, K. Ghose "Energy-Efficient of VLSI caches: a comparative study "in Proc. IEEE 10 th International Conference on VLSI Design, pp. 261--267, Junuary 1997
    [4]
    C. Su, A. Despain, "Cache Design Trade-offs for Power and Perfermance Optimisation: A case Study" in proceedings of Internatinal Symposium. On low Power Electronic and Design, pp. 63--68, 1995.
    [5]
    W-T. Shiue, C. Chakrabarti, "Memory exploration for low power embedded systems", in proc. 36th IEEE Design Automation conference, june 1999, pp. 140--145.
    [6]
    R. Banakar, S. Steinke, B. Lee, M. Balakrishnana, P. Marwedel "Comparaison of Cache and Scratch-Pad based Memory Sytems with respect to Perfermance, Area and Energy Consumption". Technical Repport 762, Univertsité of Dortmund, September 2001.
    [7]
    Zhang, C., Vahid, F. "Cache configuration exploration on prototyping platforms" 14th IEEE international workshop on rapid systems prototyping, june 2003.
    [8]
    Ann Gordon-ross, Frank Vahid, Nikil Dutt "Automatic timing of two level caches to embedded applications" DATE, France 2004.
    [9]
    Zhang, C. Vahid, F., Najjar, "A hightly-configurable cache architecture for embedded systems". 30th annual international symposium on computer architecture, June 2003.
    [10]
    Nathalie Julien "Méthodes de conception faible consommation des circuits VLSI Habilitation à diriger des recherche en science pour l'ingénieur p 36.
    [11]
    Mahmut Kandemir, J. Ramanujam, A. Choudhary "Exploiting Shared Scratchpad memory Space in embedded Multiprocessor Systems". Proceedings of the 39th conference on Design automation, New Orleans, pp: 219--224, 2002.
    [12]
    F. Catthoor, E. de Greef, S. Suytack "Custom memory management methodology: Exploration of memory organisation for embeddede multimedia system design" Kluwer academic publisher 1998 ISBN 0-79238288-9.
    [13]
    P. R. Panda, N. D. Dutt, and A. Nicolau. "Memory Issues in Embedded Systems-On-Chip". Kluwer Academic Publishers, Norwell, MA, 1999.
    [14]
    M. Verma, L. Wehmeyer, P. Marwedel "Dynamic Overlay of Scratchpad Memory for Energy Minimization" CODES+ISSS'04, September 8-10, 2004, Stockholm, Sweden.
    [15]
    Liu, C. and Layland, J. 1973. "Scheduling algorithm for multiprogramming in a hard real-time different environment". Journal of the ACM, 20(1):46--61.
    [16]
    M. Verma, L. Wehmeyer, P. Marwedel "Cache-Aware Scratchpad Allocation Algorithm" DATE 2004, Paris, France.

    Cited By

    View all
    • (2011)Hybrid PSO-SA type algorithms for multimodal function optimization and reducing energy consumption in embedded systemsApplied Computational Intelligence and Soft Computing10.1155/2011/1380782011(1-12)Online publication date: 1-Jan-2011
    • (2010)Metropolis Particle Swarm Optimization Algorithm with Mutation Operator for Global Optimization ProblemsProceedings of the 2010 22nd IEEE International Conference on Tools with Artificial Intelligence - Volume 0110.1109/ICTAI.2010.15(35-42)Online publication date: 27-Oct-2010
    • (2010)Sequential and Cooperative Distributed SA-Type Algorithms for Energy Optimization in Embedded Systems2010 International Conference on Computational Intelligence and Software Engineering10.1109/CISE.2010.5676945(1-4)Online publication date: Dec-2010
    • Show More Cited By

    Index Terms

    1. Energy aware memory architecture configuration

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM SIGARCH Computer Architecture News
        ACM SIGARCH Computer Architecture News  Volume 33, Issue 3
        Special issue: MEDEA 2004 workshop
        June 2005
        74 pages
        ISSN:0163-5964
        DOI:10.1145/1101868
        Issue’s Table of Contents
        • cover image ACM Conferences
          MEDEA '04: Proceedings of the 2004 workshop on MEmory performance: DEaling with Applications , systems and architecture
          September 2004
          62 pages
          ISBN:9781450378192
          DOI:10.1145/1152922

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 29 September 2004
        Published in SIGARCH Volume 33, Issue 3

        Check for updates

        Qualifiers

        • Article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)1
        • Downloads (Last 6 weeks)0

        Other Metrics

        Citations

        Cited By

        View all
        • (2011)Hybrid PSO-SA type algorithms for multimodal function optimization and reducing energy consumption in embedded systemsApplied Computational Intelligence and Soft Computing10.1155/2011/1380782011(1-12)Online publication date: 1-Jan-2011
        • (2010)Metropolis Particle Swarm Optimization Algorithm with Mutation Operator for Global Optimization ProblemsProceedings of the 2010 22nd IEEE International Conference on Tools with Artificial Intelligence - Volume 0110.1109/ICTAI.2010.15(35-42)Online publication date: 27-Oct-2010
        • (2010)Sequential and Cooperative Distributed SA-Type Algorithms for Energy Optimization in Embedded Systems2010 International Conference on Computational Intelligence and Software Engineering10.1109/CISE.2010.5676945(1-4)Online publication date: Dec-2010
        • (2010)Hybrid Heuristics for Optimizing Energy Consumption in Embedded SystemsComputer and Information Sciences10.1007/978-90-481-9794-1_76(409-414)Online publication date: 18-Aug-2010
        • (2008)Implementation, Compilation, Optimization of Object-Oriented Languages, Programs and SystemsObject-Oriented Technology. ECOOP 2007 Workshop Reader10.1007/978-3-540-78195-0_6(50-64)Online publication date: 2008
        • (2007)Implementation, compilation, optimization of object-oriented languages, programs and systemsProceedings of the 2007 conference on Object-oriented technology10.5555/1787553.1787560(50-64)Online publication date: 30-Jul-2007
        • (2010)Hybrid Heuristics for Optimizing Energy Consumption in Embedded SystemsComputer and Information Sciences10.1007/978-90-481-9794-1_76(409-414)Online publication date: 18-Aug-2010

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media