Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1165573.1165660acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Energy optimality and variability in subthreshold design

Published: 04 October 2006 Publication History
  • Get Citation Alerts
  • Abstract

    Recent progress in the development of subthreshold circuit design techniques has created the opportunity for dramatic energy reductions in many applications. However, energy efficiency comes at the price of timing and energy variability due to process variations. We explore energy optimality in the subthreshold regime, discuss variability in this region, and highlight the energy and variability characteristics of a real subthreshold design.

    References

    [1]
    B. Zhai, D. Blaauw, D. Sylvester, K. Flautner, "Theoretical and Practical Limits of Dynamic Voltage Scaling," DAC, 2004, pp. 868--873.
    [2]
    B. Zhai, et al., "A 2.60pJ/Inst Subthreshold Sensor Processor for Optimal Energy Efficiency," VLSI Circuits Symposium, 2006.
    [3]
    B. Calhoun, A. Wang, A. Chandrakasan, "Device sizing for minimum energy operation in subthreshold circuits," CICC, 2004, pp. 95--98.
    [4]
    B. Zhai, S. Hanson, D. Blaauw, D. Sylvester, "Analysis and Mitigation of Variability in Subthreshold Design," ISLPED, 2005, pp. 20--25.
    [5]
    W. Haensch, et al., " Silicon CMOS devices beyond scaling," IBM J. Res. & Dev. 50,No. 4, pp. 339--362.
    [6]
    J. Kwong, A. Chandrakasan, "Variation-Driven Device Sizing for Minimum Energy Sub-threshold Circuits," ISLPED, 2006.
    [7]
    C. Kim, H. Soeleman, K. Roy, "Ultra-Low-Power DLMS Adaptive Filter for Hearing Aid Applications," IEEE Trans on VLSI Systems 11, No. 6, pp. 1058--1067.

    Cited By

    View all
    • (2020)Automated Design of Reconfigurable Microarchitectures for Accelerators Under Wide-Voltage ScalingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2019.295095928:3(777-790)Online publication date: Mar-2020
    • (2020)Automated Design Flows and Run-Time Optimization for Reconfigurable MicroarchitecuresAdaptive Digital Circuits for Power-Performance Range beyond Wide Voltage Scaling10.1007/978-3-030-38796-9_3(55-92)Online publication date: 28-Feb-2020
    • (2019)Exploring optimal back bias voltages for ultra low voltage CMOS digital Circuits in 22 nm FDSOI Technology2019 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC)10.1109/NORCHIP.2019.8906939(1-6)Online publication date: Oct-2019
    • Show More Cited By

    Index Terms

    1. Energy optimality and variability in subthreshold design

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        ISLPED '06: Proceedings of the 2006 international symposium on Low power electronics and design
        October 2006
        446 pages
        ISBN:1595934626
        DOI:10.1145/1165573
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 04 October 2006

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. subthreshold circuits
        2. ultra-low energy
        3. variability

        Qualifiers

        • Article

        Conference

        ISLPED06
        Sponsor:
        ISLPED06: International Symposium on Low Power Electronics and Design
        October 4 - 6, 2006
        Bavaria, Tegernsee, Germany

        Acceptance Rates

        Overall Acceptance Rate 398 of 1,159 submissions, 34%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)1
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 11 Aug 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2020)Automated Design of Reconfigurable Microarchitectures for Accelerators Under Wide-Voltage ScalingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2019.295095928:3(777-790)Online publication date: Mar-2020
        • (2020)Automated Design Flows and Run-Time Optimization for Reconfigurable MicroarchitecuresAdaptive Digital Circuits for Power-Performance Range beyond Wide Voltage Scaling10.1007/978-3-030-38796-9_3(55-92)Online publication date: 28-Feb-2020
        • (2019)Exploring optimal back bias voltages for ultra low voltage CMOS digital Circuits in 22 nm FDSOI Technology2019 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC)10.1109/NORCHIP.2019.8906939(1-6)Online publication date: Oct-2019
        • (2019)Ultra-Low Voltage Subthreshold Binary Adder Architectures for IoT Applications: Ripple Carry Adder or Kogge Stone Adder2019 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC)10.1109/NORCHIP.2019.8906917(1-7)Online publication date: Oct-2019
        • (2018)Dynamically Adaptable Pipeline for Energy-Efficient Microarchitectures Under Wide Voltage ScalingIEEE Journal of Solid-State Circuits10.1109/JSSC.2017.276840653:2(632-641)Online publication date: Feb-2018
        • (2018)Brain-inspired computing exploiting carbon nanotube FETs and resistive RAM: Hyperdimensional computing case study2018 IEEE International Solid - State Circuits Conference - (ISSCC)10.1109/ISSCC.2018.8310399(492-494)Online publication date: Feb-2018
        • (2017)Statistical Analysis and Comparison of 2T and 3T1D e-DRAM Minimum Energy OperationIEEE Transactions on Device and Materials Reliability10.1109/TDMR.2017.266761917:1(42-51)Online publication date: Mar-2017
        • (2017)Design-Oriented Energy Models for Wide Voltage Scaling Down to the Minimum Energy PointIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2017.273654064:12(3115-3125)Online publication date: Dec-2017
        • (2017)Near-Threshold Digital Circuits for Nearly-Minimum Energy ProcessingEnabling the Internet of Things10.1007/978-3-319-51482-6_4(95-148)Online publication date: 26-Jan-2017
        • (2016)An Area-Efficient Error-Resilient Ultralow-Power Subthreshold ECG ProcessorIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2016.253815863:10(984-988)Online publication date: Oct-2016
        • Show More Cited By

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media