Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/143365.143493acmconferencesArticle/Chapter ViewAbstractPublication PagesasplosConference Proceedingsconference-collections
Article
Free access

Predicting conditional branch directions from previous runs of a program

Published: 01 September 1992 Publication History
  • Get Citation Alerts
  • First page of PDF

    References

    [1]
    S. Bandyopadhyay, V. S. Begwani and R. B. Murray. "Compiling for the CRISP microprocessor," Spring Compcom 87, pp 96-100, IEEE Computer Society, September 1987.
    [2]
    D. Bemstein and M. Rodeh. "Global instruction scheduling for superscalar machines," Proceedings of the S1GPLAN '91 Conference on Programming Language Design and Implementation, pp. 241-255, ACM, June 1991.
    [3]
    R.P. Colwell, R. P. Nix, J. J. O~}onnell, D. B. Papworth and P. K. Rodman. "A VLIW architecture for a trace scheduling compiler," Proceedings of the 2nd International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 180- 192, Palo Alto, California, ACM and IEEE Computer Society, October 1987.
    [4]
    T.M. Conte and W. M. Hwu. "The validity of optimizations based on profile information," (personal communication of working draft), 1992.
    [5]
    P, P. Chang, S. A. Mahlke and W. M. Hwu. "Using profile information to assist classic code optimizations,' (personal communication of paper to be published), 1992.
    [6]
    J.R. Ellis. Bulldog: A Compiler For VLIW Architectures, The MIT Press, Cambridge, MA, 1985.
    [7]
    J.A. Fisher. "Trace scheduling: A technique for global microcode compaction," IEEE Transactions on Computers, 30(7), pp. 478-490, July 198 I.
    [8]
    J.A. Fisher. "Very long instruction word rchitectures and the ELI-512," Proceedings of the l Oth Annual International Symposium on Computer Architecture, pp. 140-150, Stockholm, Sweden, ACM and IEEE Computer Society, June 1983.
    [9]
    S.M. Freudenberger and j. c. Ruttenberg. "Phase ordering of register allocation and instruction scheduling," to appear in Proceedings of the International Workshop on Code Generation--47oncepts, Tools, Techniques, Springer-Verlag, London, UK, 1992.
    [10]
    W. Johnson. Superscalar Microprocessor Design, Prentice-Hall, Englewood Cliffs, NJ, 1991.
    [11]
    M. Lain. "Software pipelining: an effective scheduling technique for VLIW machines," Proceedings of the SIGPLAN '88 Coherence on Programming Language Design and Implementation, pp. 318-327, ACM, June 1988.
    [12]
    J.K.F. Lee and A. J. Smith. "Branch prediction strategies and branch target buffer design," IEEE Computer 17, 1, pp 6-22, January 1984.
    [13]
    S. McFarling and J. Hennessy. "Reducing the cost of branches," Proceedings of the 13th Annual International Symposium on Computer Architecture, pp. 396-403, ACM and IEEE Computer Society, June 1986.
    [14]
    S.A. Mahlke, W. Y. Chert, W. W. Hwu, B. R. Rau and M. S. Schlansker. "Sentinel scheduling for VL1W and superscalar processors," to appear in Proceedings of the Fifth International Conference on Architectural Support for Programming Languages and Operating Systems (this volume), Boston, Massachusetts, ACM and IEEE Computer Society, October 1992.
    [15]
    C.G. Ponder and M. C. Shebanow. "An information-theoretic look at branch-prediction," in Carl Ponder, Studies in Branch Prediction (preprinO, #UCRL-ID-106077, Technical information Department, Lawrence Livermore National Laboratory, Livermore, CA, September 1990.
    [16]
    B.R. Rau and C. D. Glaeser. "Some scheduling techniques and an easily schedulable horizontal architccturc for high performance scientific computing," Proceedings of the 14th Annual Workshop on Microprogramming, pp. 183-198, ACM and IEEE Computer Society, October 1981.
    [17]
    A. Rogers and K. Li. "Software support for speculative loads," to appear in Proceedings of the Fifth International Conference on Architectural Support for Programming Languages and Operating Systems (this volume), Boston, Massachusetts, ACM and iEEE Computer Society, october 1992.
    [18]
    J.E. Smith. "A study of branch prediction strategies," Proceedings of the 8th Annual International Symposium on Computer Architecture, pp. 135-148, ACM and IEEE Computer Society, May 1981.
    [19]
    D.W. Wall. "Limits of instruction-level parallelism," Proceedings of the 4th International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 176-188, ACM, april 1991.
    [20]
    D.W. Wall. "Predicting program behavior using real or estimated profiles," Proceedings of the SIGPLAN '91 ConJbrence on Programming Language Design and Implementation, pp. 59-70, ACM, June 1991.

    Cited By

    View all
    • (2021)VESPA: static profiling for binary optimizationProceedings of the ACM on Programming Languages10.1145/34855215:OOPSLA(1-28)Online publication date: 15-Oct-2021
    • (2018)On the use of static branch prediction to reduce the worst-case execution time of real-time applicationsReal-Time Systems10.1007/s11241-018-9306-y54:3(537-561)Online publication date: 1-Jul-2018
    • (2016)Stall estimation metric: An architectural metric for estimating software complexity2016 5th International Conference on Reliability, Infocom Technologies and Optimization (Trends and Future Directions) (ICRITO)10.1109/ICRITO.2016.7784987(391-396)Online publication date: Sep-2016
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ASPLOS V: Proceedings of the fifth international conference on Architectural support for programming languages and operating systems
    September 1992
    308 pages
    ISBN:0897915348
    DOI:10.1145/143365
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 September 1992

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    ASPLOS92

    Acceptance Rates

    Overall Acceptance Rate 535 of 2,713 submissions, 20%

    Upcoming Conference

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)190
    • Downloads (Last 6 weeks)17
    Reflects downloads up to 11 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)VESPA: static profiling for binary optimizationProceedings of the ACM on Programming Languages10.1145/34855215:OOPSLA(1-28)Online publication date: 15-Oct-2021
    • (2018)On the use of static branch prediction to reduce the worst-case execution time of real-time applicationsReal-Time Systems10.1007/s11241-018-9306-y54:3(537-561)Online publication date: 1-Jul-2018
    • (2016)Stall estimation metric: An architectural metric for estimating software complexity2016 5th International Conference on Reliability, Infocom Technologies and Optimization (Trends and Future Directions) (ICRITO)10.1109/ICRITO.2016.7784987(391-396)Online publication date: Sep-2016
    • (2016)Hardware-Based Profiling: An Effective Technique for Profile-Driven OptimizationInternational Journal of Parallel Programming10.1007/BF0335674724:2(187-206)Online publication date: 26-May-2016
    • (2016)Evaluating the Effects of Predicated Execution on Branch PredictionInternational Journal of Parallel Programming10.1007/BF0335674624:2(159-186)Online publication date: 26-May-2016
    • (2016)Branch Classification: A New Mechanism for Improving Branch Predictor PerformanceInternational Journal of Parallel Programming10.1007/BF0335674524:2(133-158)Online publication date: 26-May-2016
    • (2014)JTS-based static branch prediction2014 IEEE International Conference on Consumer Electronics (ICCE)10.1109/ICCE.2014.6776101(494-495)Online publication date: Jan-2014
    • (2013)Optimized out-of-order parallel discrete event simulation using predictionsProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485293(3-8)Online publication date: 18-Mar-2013
    • (2013)Joseph A. (Josh) Fisher Receives the 2012 IEEE B. Ramakrishna Rau AwardIEEE Micro10.1109/MM.2013.10233:5(60-61)Online publication date: 1-Sep-2013
    • (2012)Combined profilingProceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software10.1109/ISPASS.2012.6189227(210-220)Online publication date: 1-Apr-2012
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media