Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1594233.1594247acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Statistical static timing analysis considering leakage variability in power gated designs

Published: 19 August 2009 Publication History
  • Get Citation Alerts
  • Abstract

    This paper is the first to study the impact of fluctuations in virtual power supply rail (vvdd) of power-gated designs on the circuit timing, where the vvdd fluctuations are due to process-induced leakage variability. We present a Monte Carlo-based statistical static timing analysis (SSTA) framework which accurately accounts for process-induced leakage variability and its impact on vvdd fluctuations and timing. For vvdd computation we propose an efficient and fast converging iterative analysis, which we explore to result in minimal additional complexity to traditional SSTA where leakage variability is not considered during analysis. We provide separate discussions for the two cases of SSTA for power-gated ASICs and microprocessors; in the latter we also consider process-induced dynamic power variability. In our simulations, we show significant error in traditional SSTA. We also study the impact of number of power-gated clusters on leakage variability, vvdd fluctuations and timing variations. We show that increase in the number of power-gated clusters reduces the circuit timing variance.

    References

    [1]
    Nangate 45nm open cell library {online} http://www.nangate.com.
    [2]
    D. Blaauw, K. Chopra, A. Srivastava, and L. Scheffer. Statistical timing analysis: From basic principles to state of the art. IEEE TCAD, 27(4):589--607, 2008.
    [3]
    S. Borkar, T. Karnik, and V. De. Design and reliability challenges in nanometer technologies. In DAC, page 75, 2004.
    [4]
    H. Chang and S.S. Sapatnekar. Statistical timing analysis under spatial correlations. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 24(9):1467--1482, 2005.
    [5]
    T. Enami, S. Ninomiya, and M. Hashimoto. Statistical timing analysis considering spatially and temporally correlated dynamic power supply noise. In ISPD, pages 160--167, 2008.
    [6]
    I.A. Ferzil and F.N. Najm. Statistical estimation of circuit timing vulnerability due to leakage-induced power grid voltage drop. In IEEE ICICDT, pages 17--24, 2004.
    [7]
    I.A. Ferzli and F.N. Najm. Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations. In DAC, pages 856--859, 2003.
    [8]
    P. Ghanta, S.B.K. Vrudhula, R. Panda, and J.M. Wang. Stochastic power grid analysis considering process variations. CoRR, abs/0710.4649, 2007.
    [9]
    K. Gulati and S.P. Khatri. Accelerating statistical static timing analysis using graphics processing units. In ASPDAC, pages 260--265, 2009.
    [10]
    H.S. Kim and D.M.H. Walker. Statistical static timing analysis considering the impact of power supply noise in VLSI circuits. In MTV, pages 76--82, 2006.
    [11]
    F. Najm, N. Menezes, and I. Ferzli. A yield model for integrated circuits and its application to statistical timing analysis. IEEE TCAD, 26(3):574--591, 2007.
    [12]
    S. Pant, D. Blaauw, V. Zolotov, S. Sundareswaran, and R. Panda. A stochastic approach to power grid analysis. In DAC, pages 171--176, 2004.
    [13]
    K. Shi and D. Howard. Challenges in sleep transistor design and implementation in low-power designs. In DAC, pages 113--116, 2006.
    [14]
    J. Singh and S.S. Sapatnekar. A scalable statistical static timing analyzer incorporating correlated non--gaussian and gaussian parameter variations. IEEE TCAD, 27(1):160--173, 2008.
    [15]
    V. Veetil, D. Sylvester, and D. Blaauw. Efficient monte carlo based incremental statistical timing analysis. In DAC, pages 676--681, 2008.

    Index Terms

    1. Statistical static timing analysis considering leakage variability in power gated designs

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '09: Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design
      August 2009
      452 pages
      ISBN:9781605586847
      DOI:10.1145/1594233
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 19 August 2009

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. leakage
      2. power gating
      3. process variations
      4. ssta

      Qualifiers

      • Research-article

      Conference

      ISLPED'09
      Sponsor:

      Acceptance Rates

      ISLPED '09 Paper Acceptance Rate 72 of 208 submissions, 35%;
      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Upcoming Conference

      ISLPED '24

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • 0
        Total Citations
      • 232
        Total Downloads
      • Downloads (Last 12 months)2
      • Downloads (Last 6 weeks)1
      Reflects downloads up to 27 Jul 2024

      Other Metrics

      Citations

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media