Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1594233.1594263acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Frequency and yield optimization using power gates in power-constrained designs

Published: 19 August 2009 Publication History

Abstract

Manufactured dies exhibit a large spread of maximum frequency and leakage power due to process variations, which have been increasing with technology scaling. Reducing the spread is very important for maximizing the frequency and the yield of power-constrained designs, because otherwise many dies that do not satisfy frequency or power constraints would be discarded. In this paper, we propose two optimization methods to improve the maximum operating frequency and the yield using power gates that already exist in many power-constrained designs. In the first method, we consider the designs of multiple cores, where each of them can be independently power-gated. When each core shows different frequencies due to within-die variations, the strength of a power gate in each core is adjusted to make their maximum operating frequencies even. This allows faster cores to consume less active leakage power, reducing the total power consumption well below a power constraint in a globally-clocked design. We subsequently increase global supply voltage for higher overall frequency until the power constraint is satisfied. In our experiments assuming multicore processors with 2--16 cores, the maximum operating frequency was improved by 4-23%. In the second method, we take leaky-but-fast dies (which otherwise would be discarded) and adjust the strength of the power gates such that they can operate in an acceptable power and frequency region. The problem is extended to designs employing a frequency binning strategy, where we have an additional objective of maximizing the number of dies for higher frequency bins. In our experiments with ISCAS benchmark circuits, most discarded fast-but leaky dies were recovered using the second method.

References

[1]
K. Bowman, S. Duvall, and J. Meindl, "Impact of die-to-die and within-die Parameter fluctuations on the maximum clock frequency distribution for gigascale integration," IEEE JSSC, Vol. 37, No. 2, pp. 183--190, Feb. 2002.
[2]
S. Borkar, T. Karnik, and V. De, "Design and reliability challenges in nanometer technologies," in Proc. DAC, pp. 75, Jun. 2004.
[3]
D. Blaauw and F. Najm, "Leakage power: trends, analysis and avoidance," in Proc. ASP-DAC, pp. 18--21, Jan. 2005.
[4]
Z. Songqing V. Wason, and K. Banerjee, "A probabilistic framework to estimate full-chip subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations," in Proc. ISLPED, pp. 156--161, Aug. 2004.
[5]
K. Agarwal et al., "Parametric yield analysis and optimization in leakage dominated technologies," IEEE TVLSI, Vol. 15, No. 6, pp. 613--623, Jun. 2007.
[6]
J. Tschanz et al., "Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage," IEEE JSSC, Vol. 37, No. 11, pp. 1396--1402, Nov. 2002
[7]
S. Narendra et al., "Impact of using adaptive body bias to compensate die-to-die Vt variation on within-die Vt variation," in Proc. ISLPED, pp. 229--232, Aug. 1999.
[8]
Y. Yasuda et al., "System LSI multi-Vth transistors design methodology for maximizing efficiency of body-biasing control to reduce Vth variation and power consumption," in Proc. IEDM, pp. 68--71, Dec. 2005.
[9]
T. Chen and S. Naffziger, "Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation", IEEE TVLSI, Vol. 11, No. 5, pp. 888--899, Oct. 2003.
[10]
D. Lackey et al., "Managing power and performance for system-on-chip designs using voltage islands," in Proc. ICCAD, pp. 195--202, Nov. 2002.
[11]
W. Kim et al., "System level analysis of fast, per-core DFVS using on-chip switching regulators," in Proc. HPCA, pp. 123--134, Feb. 2008.
[12]
K. Shi and D. Howard, "Challenges in sleep transistor design and implementation in low power designs," in Proc. DAC, pp. 113--116, Jun. 2006.
[13]
H. Deogun et al, "Adaptive MTCMOS for dynamic leakage and frequency control using variable footer strength," in Proc. SOCC, pp. 147--150, 2005.
[14]
"Power gating and turbo mode: Intel talks Nehalem at IDF," http://arstechnica.com/news.ars/post/20080820-power-gating-and-turbo-mode-intel-talks-nehalem-at-idf.html.
[15]
HotSpot, http://lava.cs.virginia.edu/HotSpot/index.htm.
[16]
Predictive technology model, http://www.eas.asu.edu/~ptm.
[17]
S. Herbert and D. Marculescu, "Characterizing chip-multiprocessor variability-tolerance," in Proc. DAC, pp. 313--318, Jun. 2008.
[18]
N. Kim et al., "Optimizing total power through pipelining and parallel processing under the presence of process variations," in Proc. ICCAD, pp. 534--539, Nov. 2005.
[19]
R. Rao et al., "Throughput of multi-core processors under thermal constraints," in Proc. ISLPED, pp. 201--206, Aug. 2007.

Cited By

View all
  • (2014)Low-Cost Per-Core Voltage Domain Support for Power-Constrained High-Performance ProcessorsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2013.225790022:4(747-758)Online publication date: 1-Apr-2014
  • (2014)Low-cost scratchpad memory organizations using heterogeneous cell sizes for low-voltage operationsMicroprocessors and Microsystems10.1016/j.micpro.2014.06.00238:7(707-716)Online publication date: Oct-2014
  • (2012)Cost-effective power delivery to support per-core voltage domains for power-constrained processorsProceedings of the 49th Annual Design Automation Conference10.1145/2228360.2228372(56-61)Online publication date: 3-Jun-2012
  • Show More Cited By

Index Terms

  1. Frequency and yield optimization using power gates in power-constrained designs

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '09: Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design
      August 2009
      452 pages
      ISBN:9781605586847
      DOI:10.1145/1594233
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 19 August 2009

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. frequency
      2. optimization
      3. power gate
      4. yield

      Qualifiers

      • Research-article

      Conference

      ISLPED'09
      Sponsor:

      Acceptance Rates

      ISLPED '09 Paper Acceptance Rate 72 of 208 submissions, 35%;
      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)5
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 02 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2014)Low-Cost Per-Core Voltage Domain Support for Power-Constrained High-Performance ProcessorsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2013.225790022:4(747-758)Online publication date: 1-Apr-2014
      • (2014)Low-cost scratchpad memory organizations using heterogeneous cell sizes for low-voltage operationsMicroprocessors and Microsystems10.1016/j.micpro.2014.06.00238:7(707-716)Online publication date: Oct-2014
      • (2012)Cost-effective power delivery to support per-core voltage domains for power-constrained processorsProceedings of the 49th Annual Design Automation Conference10.1145/2228360.2228372(56-61)Online publication date: 3-Jun-2012
      • (2012)Maximizing frequency and yield of power-constrained designs using programmable power-gatingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.216353320:10(1885-1890)Online publication date: 1-Oct-2012
      • (2011)Hybrid CMOS and CNFET Power Gating in Ultralow Voltage DesignIEEE Transactions on Nanotechnology10.1109/TNANO.2011.216823610:6(1439-1448)Online publication date: 1-Nov-2011
      • (2011)Power Efficient Variability Compensation Through Clustered Tunable Power-GatingIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2011.21636891:3(242-253)Online publication date: Sep-2011
      • (2011)Near-threshold low power process monitor for deeply scaled CMOS technology2011 IEEE INTERNATIONAL CONFERENCE ON ELECTRO/INFORMATION TECHNOLOGY10.1109/EIT.2011.5978608(1-5)Online publication date: May-2011
      • (2010)Analyzing and minimizing effects of temperature variation and NBTI on active leakage power of power-gated circuits2010 11th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED.2010.5450491(791-796)Online publication date: Mar-2010

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media