Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/169627.169699acmconferencesArticle/Chapter ViewAbstractPublication PagesscConference Proceedingsconference-collections
Article
Free access

An empirical comparison of the Kendall Square Research KSR-1 and Stanford DASH multiprocessors

Published: 01 December 1993 Publication History
First page of PDF

References

[1]
Anant Agarwal et al. APRIL: A processor architecture for multiprocessing. In Proceedings of the 17th Annual international Symposium on Computer Architecture, pages 104-114, May 1990.
[2]
David H. Bailey. FFI's in External or Hierarchical Memories. In Journal of Supercomputing, 4: 23-25, 1990.
[3]
Gordon Bell. Ultracomputers: A Teraflop Before Its Time. in Communications of the ACM, 35(8): 26-47, August 1992.
[4]
Henry Burkhardt 111 et al. Overview of the KSR1 Computer System. Technical Report KSR-TR- 9202001, Kendall Square Research, Boston, February 1992.
[5]
Alan Gottlieb et al. The NYU Ultracomputer - Designing a MIMD, shared memory parallel machine. IEEE Transactions on Computers, 32(2): 175-189, February 1983.
[6]
Erik Hagersten, Seif Haridi, and David H.D. Warren. The cache-coherence protocol of the data diffusion machine, in Michel Dubois mad Shreekant Thakkar, editors, Cache and Interconnect Architectures in Mult~processors. Kluwer Academic Publishers, 1990.
[7]
Erik Hagersten, Anders Landin, and Seif Haridi. DDM -- A Cache-Chaly Memory Architecture. IEEE Computer, pages 44-54, September 1992.
[8]
Monica Larn, Edward Rothberg and Michael Wolf. The cache performance and optirnizations of blocked Algorithms. In Proceedings of the 4th International Conference on Architectural Support for Programming Languages and Operating Systems, pages 63-74, 1991.
[9]
Daniel E. Lenoski et al. The directory-based cache coherence protocol for the DASH multiprocessor, in Proceedings of the 17th Annual International Symposium on Computer Architecture, pages 148- 159, 1990.
[10]
Edward Rothberg, Jaswinder Pal Singh and Anoop Gupta. Working sets, cache sizes, and node granularity for large-scale multiprocessors. In Proceedings of the 20th Annual International Symposium on Computer Architecture, 1993.
[11]
Jaswinder Pal Singh and John L. Hennessy. Finding and exploiting parallelism in an ocean simulation program: experiences, results, implications, in Journal of Parallel and Distributed Computing, 15(1): 27-48, May 1992.
[12]
Jaswinder Pal Singh, John L. Hennessy, and Anoop Gupta. Scaling parallel programs for multiproeessors: methodology and examples. IEEE Computer, July 1993.
[13]
Jaswinder Pal Singh et al. "Load balancing and data locality in parallel hierarchial N-body metho&", Technical Report CSL-TR-92-505, Stanford University, February 1992.
[14]
Jaswinder Pal Singh, Wolf-Dietrich Weber, and Anoop Gupta. SPLASH: Stanford parallel applications for shared-memory. Computer Arctu'tecture News, 20(1 ):5-44, March 1992.
[15]
Per Stenstrtm, Truman Joe and Anoop Gupta. Comparative performance evaluation of cachecoherent NUMA and COMA architectures. In Proceedings of the 17th Annual International Symposium on Computer Architecture, pages 80-91, 1992.
[16]
Joseph Torrellas, Moniea S. Larn, and John L. Hennessy. Shared data placement optimizations to reduce multiprocessor cache miss rates. In Proceedings of the International Conference on Parallel Processing, pages 266-270, 1990. Vol. II.

Cited By

View all
  • (2017)Battery-Free CellphoneProceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies10.1145/30900901:2(1-20)Online publication date: 30-Jun-2017
  • (2017)Inferring Person-to-person Proximity Using WiFi SignalsProceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies10.1145/30900891:2(1-20)Online publication date: 30-Jun-2017
  • (2017)Logging you, Logging meProceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies10.1145/30900871:2(1-18)Online publication date: 30-Jun-2017
  • Show More Cited By

Index Terms

  1. An empirical comparison of the Kendall Square Research KSR-1 and Stanford DASH multiprocessors

                    Recommendations

                    Comments

                    Information & Contributors

                    Information

                    Published In

                    cover image ACM Conferences
                    Supercomputing '93: Proceedings of the 1993 ACM/IEEE conference on Supercomputing
                    December 1993
                    935 pages
                    ISBN:0818643404
                    DOI:10.1145/169627
                    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                    Sponsors

                    Publisher

                    Association for Computing Machinery

                    New York, NY, United States

                    Publication History

                    Published: 01 December 1993

                    Permissions

                    Request permissions for this article.

                    Check for updates

                    Qualifiers

                    • Article

                    Conference

                    SC '93
                    Sponsor:

                    Acceptance Rates

                    Supercomputing '93 Paper Acceptance Rate 72 of 300 submissions, 24%;
                    Overall Acceptance Rate 1,516 of 6,373 submissions, 24%

                    Contributors

                    Other Metrics

                    Bibliometrics & Citations

                    Bibliometrics

                    Article Metrics

                    • Downloads (Last 12 months)40
                    • Downloads (Last 6 weeks)6
                    Reflects downloads up to 14 Oct 2024

                    Other Metrics

                    Citations

                    Cited By

                    View all
                    • (2017)Battery-Free CellphoneProceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies10.1145/30900901:2(1-20)Online publication date: 30-Jun-2017
                    • (2017)Inferring Person-to-person Proximity Using WiFi SignalsProceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies10.1145/30900891:2(1-20)Online publication date: 30-Jun-2017
                    • (2017)Logging you, Logging meProceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies10.1145/30900871:2(1-18)Online publication date: 30-Jun-2017
                    • (2016)A mixed criticality approach for the security of critical flows in a network-on-chipACM SIGBED Review10.1145/3015037.301504813:4(67-72)Online publication date: 3-Nov-2016
                    • (2016)Support for the logical execution time model on a time-predictable multicore processorACM SIGBED Review10.1145/3015037.301504713:4(61-66)Online publication date: 3-Nov-2016
                    • (2016)FTT-openFlow, on the way towards real-time SDNACM SIGBED Review10.1145/3015037.301504513:4(49-54)Online publication date: 3-Nov-2016
                    • (2016)Tighter buffer dimensioning in AFDX networksACM SIGBED Review10.1145/3015037.301504313:4(37-42)Online publication date: 3-Nov-2016
                    • (2016)Hierarchical scheduling over off- and on-chip deterministic networksACM SIGBED Review10.1145/3015037.301503913:4(14-19)Online publication date: 3-Nov-2016
                    • (2016)Affinity-Based Thread and Data Mapping in Shared Memory SystemsACM Computing Surveys10.1145/300638549:4(1-38)Online publication date: 5-Dec-2016
                    • (2014)CAMEOProceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2014.63(1-12)Online publication date: 13-Dec-2014
                    • Show More Cited By

                    View Options

                    View options

                    PDF

                    View or Download as a PDF file.

                    PDF

                    eReader

                    View online with eReader.

                    eReader

                    Get Access

                    Login options

                    Media

                    Figures

                    Other

                    Tables

                    Share

                    Share

                    Share this Publication link

                    Share on social media