Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1837274.1837346acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Pulsed-latch aware placement for timing-integrity optimization

Published: 13 June 2010 Publication History

Abstract

Utilizing pulsed latches in a circuit is one emerging solution to timing improvements. Pulsed latches, driven by a brief clock signal generated from pulse generators, possess superior design parameters over flip-flops. If pulse generators and pulsed latches are not placed properly, however, pulse-width degradations at pulsed latches and thus timing violations might occur. In this paper, we introduce the pulsed-latch aware placement problem for timing integrity and present a unified placement framework to tackle this problem. Our new placer has the following distinguished features: (1) a multilevel pulsed-latch aware analytical placement framework to effectively prevent the potential pulse-width distortion problem, (2) a physical-information aware latch grouping algorithm to identify each desired group of a pulse generator and pulsed latches, and (3) a new optimization gradient for global placement to consider the impact of load capacitance of generators. Experimental results show that our placement flow can effectively consider pulse-width integrity and thus achieve much smaller total/worst negative slacks with marginal wirelength overheads, compared to a leading commercial and an academic placement flows.

References

[1]
C. Alpert, A. Kahng, G.-J. Nam, S. Reda, and P. Villarrubia. A semi-persistent clustering technique for VLSI circuit placement. In Proc. of ISPD, 2005.
[2]
S. Boyd and L. Vandenberghe. Convex Optimation. Cambridge University Press, 2004.
[3]
Cadence Design Systems. http://www.cadence.com.
[4]
D. Chinnery and K. Keutzer. Closing the Gap between ASIC & Custom. Kluwer Academic Publishers, 2002.
[5]
C. Chu. FLUTE: fast lookup table based wirelength estimation technique. In Proc. of ICCAD, 2004.
[6]
T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang. NTUplace3: An analytical placer for large-scale mixed-size designs with preplaced blocks and density constraints. In IEEE TCAD, Vol. 27, No. 7, pp. 1228--1240, July 2008.
[7]
HSPICE. http://www.synopsys.com/community/interoperability/pages/hspice.aspx.
[8]
IWLS 2005 Benchmarks. http://iwls.org/iwls2005/benchmarks.html.
[9]
A. B. Kahng and Q. Wang. Implementation and extensibility of an analytic placer. IEEE TCAD, 2005.
[10]
H. Lee, S. Paik, and Y. Shin. Pulse width allocation with clock skew scheduling for optimizing pulsed latch-based sequential circuits In Proc. of ICCAD, 2008.
[11]
Nangate 45nm Open Cell Library. http://www.nangate.com/.
[12]
W. C. Naylor, R. Donelly, and L. Sha. Non-linear optimization system and method for wire length and delay optimization for an automatic electric circuit placer. U.S. Patent 6 301 693, 2001.
[13]
OpenCores. http://www.opencores.org.
[14]
M. Pan and C. Chu. A step to integrate global routing into placement. In Proc. of ICCAD, 2006.
[15]
E. Sentovich, K. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P. Stephan, R. Brayton, and A. Sangiovanni-Vincentelli. SIS: a system for sequential circuit synthesis. Tech. Rep., 1992.
[16]
S. Shibatani and A. H. C. Li. Pulse-latch approach reduces dynamic power. EETimes Online, 2006.
[17]
Y. Wang, Q. Zhou, X. Hong, and Y. Cai. Clock-tree aware placement based on dynamic clock-tree building. In Proc. of ISCAS, 2007.
[18]
J.-S. Yim, S.-O. Bae, and C.-M. Kyung. A floorplan-based planning methodlogy for power and clock distribution in ASICs. In Proc. of DAC, 1999.

Cited By

View all
  • (2021)Power-Efficient Bidirectional Shift Register Using Conditional Bidirectional Pulsed Latch CircuitAdvances in Energy Technology10.1007/978-981-16-1476-7_12(123-130)Online publication date: 28-Jul-2021
  • (2017)Near- and Sub- $V_{t}$ Pipelines Based on Wide-Pulsed-Latch Design TechniquesIEEE Journal of Solid-State Circuits10.1109/JSSC.2017.271792752:9(2475-2487)Online publication date: Sep-2017
  • (2017)Short path padding with multiple-Vt cells for wide-pulsed-latch based circuits at ultra-low voltage2017 IEEE 12th International Conference on ASIC (ASICON)10.1109/ASICON.2017.8252643(985-988)Online publication date: Oct-2017
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '10: Proceedings of the 47th Design Automation Conference
June 2010
1036 pages
ISBN:9781450300025
DOI:10.1145/1837274
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 13 June 2010

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. physical design
  2. placement
  3. pulsed latch

Qualifiers

  • Research-article

Funding Sources

Conference

DAC '10
Sponsor:

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)13
  • Downloads (Last 6 weeks)0
Reflects downloads up to 30 Aug 2024

Other Metrics

Citations

Cited By

View all
  • (2021)Power-Efficient Bidirectional Shift Register Using Conditional Bidirectional Pulsed Latch CircuitAdvances in Energy Technology10.1007/978-981-16-1476-7_12(123-130)Online publication date: 28-Jul-2021
  • (2017)Near- and Sub- $V_{t}$ Pipelines Based on Wide-Pulsed-Latch Design TechniquesIEEE Journal of Solid-State Circuits10.1109/JSSC.2017.271792752:9(2475-2487)Online publication date: Sep-2017
  • (2017)Short path padding with multiple-Vt cells for wide-pulsed-latch based circuits at ultra-low voltage2017 IEEE 12th International Conference on ASIC (ASICON)10.1109/ASICON.2017.8252643(985-988)Online publication date: Oct-2017
  • (2015)Clock-Tree Aware Multibit Flip-Flop Generation During Placement for Power OptimizationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2014.237698834:2(280-292)Online publication date: Feb-2015
  • (2014)Pulsed-Latch Utilization for Clock-Tree Power OptimizationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2013.225221122:4(721-733)Online publication date: 1-Apr-2014
  • (2013)In-placement clock-tree aware multi-bit flip-flop generation for power optimizationProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561946(592-598)Online publication date: 18-Nov-2013
  • (2013)Pulsed-Latch Replacement Using Concurrent Time Borrowing and Clock GatingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2012.223482832:2(242-246)Online publication date: 1-Feb-2013
  • (2013)In-placement clock-tree aware multi-bit flip-flop generation for power optimization2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2013.6691177(592-598)Online publication date: Nov-2013
  • (2013)Pulsed-latch ASIC synthesis in industrial design flow2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASPDAC.2013.6509621(356-361)Online publication date: Jan-2013
  • (2012)Novel pulsed-latch replacement based on time borrowing and spiral clusteringProceedings of the 2012 ACM international symposium on International Symposium on Physical Design10.1145/2160916.2160944(121-128)Online publication date: 25-Mar-2012
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media